|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
library ieee;4 R# ]1 p K- @$ x7 J& }' }( a) g
use ieee.std_logic_1164.all;
i* w. F: e3 k- ]& i; K/ Nuse ieee.std_logic_unsigned.all;
* i' a$ K9 ]) O( c ~entity adder8 is
# h, G |* h4 J, R2 U: h. B+ Y9 d% xport(a,b:in std_logic_vector(7 downto 0);
0 ]0 h6 h2 B% O$ D: p( Xcin:in std_logic;
1 r) ^ G! z! |% }( G, Isumout std_logic_vector(7 downto 0);" Z+ R+ T- P& F% H, e, Y
coutout std_logic);1 `1 ?$ `' u" }9 V# D
end;
. ]1 n8 S1 z8 E, d1 m7 ^architecture bhv of adder8 is9 O9 z' G' A8 i( h& C
signal a0,b0,cin0,s:std_logic_vector(8 downto 0);! a" O6 n3 B# a9 a' }8 h4 ~% h
begin
5 C& u" r- w% w, v6 p7 ]5 fa0<=’0’&a;b0<=’0’&b;cin0<="00000000"&cin;9 f: o( r# |4 N. u3 W ^, y- J9 ]
s<=a0+b0+cin0;
% L' L8 K+ D* {5 H) m5 P( j1 ysum<=s(7 downto 0);
' M7 A A; z4 p- x. H8 ecout<=s(8);& w! \4 A- h# t* H
end;
. J- r: F3 g: _
( p/ [2 Y8 `( B5 A( }) t# i& z B
2 }* V0 Q" `7 J6 l/ L3 p) S# ^出现Error: Text Design File syntax error: Text Design File contains a symbolic name where ASSERT, CONSTANT, DEFINE, DESIGN, FUNCTION, OPTIONS, PARAMETERS, SUBDESIGN, or TITLE was expected 的错误,该如何解决; C @" [, r& }5 e" ^+ v; H/ B. X
|
|