|  | 
 
| 
library ieee;: q2 z: P- K* ~& K7 j$ n! R+ N
x
EDA365欢迎您登录!您需要 登录 才可以下载或查看,没有帐号?注册  use ieee.std_logic_1164.all;& U+ D0 `' R* _( N( r8 R; r# V7 z
 use ieee.std_logic_unsigned.all;
 / u. ~# M$ `$ o' }  e: D1 Q0 fentity adder8 is
 # ?+ ]+ A3 Y/ }/ Lport(a,b:in std_logic_vector(7 downto 0);& g( Y3 t) P: k# ^
 cin:in std_logic;& V' F; b& Y# B/ t' C% P
 sumout std_logic_vector(7 downto 0);' o5 E$ e- a$ t- Y% Q: M
 coutout std_logic);/ b. J, h6 c# P- c" W& A
 end;# S, E, O/ c" ~$ K! W, Z
 architecture bhv of adder8 is# g8 \' i! k, x, W' X. ]  Q2 ^
 signal a0,b0,cin0,s:std_logic_vector(8 downto 0);
 8 u& p% @4 S6 X6 g9 Q$ D$ }begin
 ! x9 Y7 Y- @- A3 T' _1 D4 |! I* _  wa0<=’0’&a;b0<=’0’&b;cin0<="00000000"&cin;  R4 e' O5 l/ L/ o6 N
 s<=a0+b0+cin0;
 Q0 ^' g# y/ W4 K- m& y( s  ?sum<=s(7 downto 0);/ T  p  e2 n0 y, p& x# o
 cout<=s(8);
 % M% `% y) S& Y5 gend;
 \- f7 G- H  `, z% [
 3 g4 b0 z8 \4 v0 F7 r1 {! `
 6 P) z* V% g% _6 }) F出现Error: Text Design File syntax error: Text Design File contains a symbolic name where ASSERT, CONSTANT, DEFINE, DESIGN, FUNCTION, OPTIONS, PARAMETERS, SUBDESIGN, or TITLE was expected  的错误,该如何解决
 a# R: q2 w6 |( l8 Z
 | 
 |