找回密码
 注册
关于网站域名变更的通知
查看: 218|回复: 2
打印 上一主题 下一主题

在用quartuesII仿真加法器的时候出现如下错误,该如何解决呢?

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2022-11-1 15:44 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
library ieee;: N* o  u9 a% p( N
use ieee.std_logic_1164.all;
% v2 G. l) Z: M: o9 puse ieee.std_logic_unsigned.all;
3 W! P& @: D9 Qentity adder8 is
2 \, x$ p- `& T! I' }+ i% gport(a,b:in std_logic_vector(7 downto 0);+ S! {( ?! o9 v# c$ }
cin:in std_logic;1 x% O& ?( \6 A: ^
sumout std_logic_vector(7 downto 0);2 J, f* d6 x! W& M+ `9 }/ d! J
coutout std_logic);" R( o, y: H6 C) |- E
end;
; t) b. Q) t  D4 J$ ]5 Marchitecture bhv of adder8 is# G2 J  r+ w' x5 w! D$ Y" l
signal a0,b0,cin0,s:std_logic_vector(8 downto 0);6 o: h( g8 X  Q  P, N" ~
begin4 X, ]; t% V; V/ }; d
a0<=’0’&a;b0<=’0’&b;cin0<="00000000"&cin;
' i6 A5 q- q9 ?, _. Vs<=a0+b0+cin0;
# C# p0 K- l8 J  s  p# u$ Asum<=s(7 downto 0);
2 b' u; V/ ~3 X" F) g% m, Dcout<=s(8);
9 [0 K5 n, X8 u4 j2 @end;5 ]( N9 `8 t) l0 b
; ?+ x1 l& ^5 X, h+ t" i

7 V  c5 R8 _6 g2 B出现Error: Text Design File syntax error: Text Design File contains a symbolic name where ASSERT, CONSTANT, DEFINE, DESIGN, FUNCTION, OPTIONS, PARAMETERS, SUBDESIGN, or TITLE was expected  的错误,该如何解决; F, K) E- w9 z; R

该用户从未签到

2#
发表于 2022-11-1 16:29 | 只看该作者
语法问题,或者说例化/instantiation不太规范,可能是某个变量未声明导致。
7 n# @5 K: ?1 U我不会VHDL,只能给你一个调试思路:注释掉大多数内容,然后挨个取消注释,可以定位到哪个变量使用不规范导致的问题。4 T% S& y; [: g

该用户从未签到

3#
发表于 2022-11-1 17:13 | 只看该作者
看一下是不是语法的问题1 i$ p- S* R& G* r
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-10-5 17:56 , Processed in 0.125000 second(s), 23 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表