找回密码
 注册
关于网站域名变更的通知
查看: 222|回复: 2
打印 上一主题 下一主题

在用quartuesII仿真加法器的时候出现如下错误,该如何解决呢?

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2022-11-1 15:44 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
library ieee;: q2 z: P- K* ~& K7 j$ n! R+ N
use ieee.std_logic_1164.all;& U+ D0 `' R* _( N( r8 R; r# V7 z
use ieee.std_logic_unsigned.all;
/ u. ~# M$ `$ o' }  e: D1 Q0 fentity adder8 is
# ?+ ]+ A3 Y/ }/ Lport(a,b:in std_logic_vector(7 downto 0);& g( Y3 t) P: k# ^
cin:in std_logic;& V' F; b& Y# B/ t' C% P
sumout std_logic_vector(7 downto 0);' o5 E$ e- a$ t- Y% Q: M
coutout std_logic);/ b. J, h6 c# P- c" W& A
end;# S, E, O/ c" ~$ K! W, Z
architecture bhv of adder8 is# g8 \' i! k, x, W' X. ]  Q2 ^
signal a0,b0,cin0,s:std_logic_vector(8 downto 0);
8 u& p% @4 S6 X6 g9 Q$ D$ }begin
! x9 Y7 Y- @- A3 T' _1 D4 |! I* _  wa0<=’0’&a;b0<=’0’&b;cin0<="00000000"&cin;  R4 e' O5 l/ L/ o6 N
s<=a0+b0+cin0;
  Q0 ^' g# y/ W4 K- m& y( s  ?sum<=s(7 downto 0);/ T  p  e2 n0 y, p& x# o
cout<=s(8);
% M% `% y) S& Y5 gend;
  \- f7 G- H  `, z% [
3 g4 b0 z8 \4 v0 F7 r1 {! `
6 P) z* V% g% _6 }) F出现Error: Text Design File syntax error: Text Design File contains a symbolic name where ASSERT, CONSTANT, DEFINE, DESIGN, FUNCTION, OPTIONS, PARAMETERS, SUBDESIGN, or TITLE was expected  的错误,该如何解决
  a# R: q2 w6 |( l8 Z

该用户从未签到

2#
发表于 2022-11-1 16:29 | 只看该作者
语法问题,或者说例化/instantiation不太规范,可能是某个变量未声明导致。! k% Z1 u( g. v5 H& `
我不会VHDL,只能给你一个调试思路:注释掉大多数内容,然后挨个取消注释,可以定位到哪个变量使用不规范导致的问题。( d% J% u) ~% R

该用户从未签到

3#
发表于 2022-11-1 17:13 | 只看该作者
看一下是不是语法的问题9 o: _3 S: m! S5 s* Y
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-10-31 19:06 , Processed in 0.140625 second(s), 23 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表