找回密码
 注册
关于网站域名变更的通知
查看: 203|回复: 2
打印 上一主题 下一主题

在用quartuesII仿真加法器的时候出现如下错误,该如何解决呢?

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2022-11-1 15:44 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
library ieee;4 R# ]1 p  K- @$ x7 J& }' }( a) g
use ieee.std_logic_1164.all;
  i* w. F: e3 k- ]& i; K/ Nuse ieee.std_logic_unsigned.all;
* i' a$ K9 ]) O( c  ~entity adder8 is
# h, G  |* h4 J, R2 U: h. B+ Y9 d% xport(a,b:in std_logic_vector(7 downto 0);
0 ]0 h6 h2 B% O$ D: p( Xcin:in std_logic;
1 r) ^  G! z! |% }( G, Isumout std_logic_vector(7 downto 0);" Z+ R+ T- P& F% H, e, Y
coutout std_logic);1 `1 ?$ `' u" }9 V# D
end;
. ]1 n8 S1 z8 E, d1 m7 ^architecture bhv of adder8 is9 O9 z' G' A8 i( h& C
signal a0,b0,cin0,s:std_logic_vector(8 downto 0);! a" O6 n3 B# a9 a' }8 h4 ~% h
begin
5 C& u" r- w% w, v6 p7 ]5 fa0<=’0’&a;b0<=’0’&b;cin0<="00000000"&cin;9 f: o( r# |4 N. u3 W  ^, y- J9 ]
s<=a0+b0+cin0;
% L' L8 K+ D* {5 H) m5 P( j1 ysum<=s(7 downto 0);
' M7 A  A; z4 p- x. H8 ecout<=s(8);& w! \4 A- h# t* H
end;
. J- r: F3 g: _
( p/ [2 Y8 `( B5 A( }) t# i& z  B
2 }* V0 Q" `7 J6 l/ L3 p) S# ^出现Error: Text Design File syntax error: Text Design File contains a symbolic name where ASSERT, CONSTANT, DEFINE, DESIGN, FUNCTION, OPTIONS, PARAMETERS, SUBDESIGN, or TITLE was expected  的错误,该如何解决; C  @" [, r& }5 e" ^+ v; H/ B. X

该用户从未签到

2#
发表于 2022-11-1 16:29 | 只看该作者
语法问题,或者说例化/instantiation不太规范,可能是某个变量未声明导致。7 g, v% M# B9 w: t4 ~& ], n5 J
我不会VHDL,只能给你一个调试思路:注释掉大多数内容,然后挨个取消注释,可以定位到哪个变量使用不规范导致的问题。
; e5 J0 q6 g* Y

该用户从未签到

3#
发表于 2022-11-1 17:13 | 只看该作者
看一下是不是语法的问题
3 i/ W8 f. X4 c1 A& I0 o6 T
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-7-21 01:24 , Processed in 0.093750 second(s), 23 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表