找回密码
 注册
关于网站域名变更的通知
查看: 1031|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答' E! a  f/ M$ t8 t$ F, I
library ieee;+ k: A7 ]/ d8 P  b- j
use ieee.std_logic_1164.all;# H. d+ t, w3 {1 K& F5 c
use ieee.std_logic_arith.all;; w0 q/ ^. g, U- ]
use ieee.std_logic_unsigned.all;; w9 G( t3 q  d& r: M, Z
) n: b: ?" n* ^( P, x  K
entity mycounter_60 is# W9 X7 M( x, H+ [5 X. p
    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;/ m4 p7 M& N; j. i! A  W4 c, g
         din:in std_logic_vector(3 downto 0);
, Z5 a: ^0 d. I         bcd1: out std_logic_vector(3 downto 0);- N" t; \; F( S2 A' f+ W' X. U
         co: out std_logic;
* k+ E' v2 L' Y         bcd10: out std_logic_vector(2 downto 0));
2 G0 `# B5 X- pend mycounter_60;
' a9 ?) E" i. n- Z! G; \2 F3 Z
! n  O  M! c/ z- R; P) Carchitecture art2 of mycounter_60 is
9 V$ c, Z2 h% J4 P# v3 Ksignal bcd1n:std_logic_vector(3 downto 0);
5 J0 u" j7 g: L, @signal bcd10n:std_logic_vector(2 downto 0);
; J0 `) c) J5 k* f  lbegin0 f' R& s6 o  N
    PROCESS(clk,clr,en,bcd1wr) is
: `2 S; g& J7 X$ m) F. f    begin
8 A1 F; |: G* ^4 b) m" V) K5 z        if(clr='0') then8 e7 c7 {5 c5 p9 r6 s
            bcd1n<=(others=>'0');
& R& A4 X! @3 P2 A1 O            bcd10n<=(others=>'0');: p% J$ Y' d& h! O6 o$ F
        elsif(clk'event and clk='1') then6 V# P( x0 l( t6 I3 a2 Y8 q
            if(bcd1wr='1' and en='1') then& I. u0 W- Z8 h
                bcd1n<=din;" m$ ?+ t0 }, F) ]% t! e; L
            elsif(en='1') then
0 |4 X6 K3 q! C9 O                bcd1n<=bcd1n+1;# K% d$ `* l: {8 @2 m* U
                if(bcd1n>=9) then
1 ^% U5 Q. {9 P2 @# P                    bcd1n<="0000";+ [! `% k( m$ T# U: ?; N' }: L
                end if;
5 D3 V1 p; u& F2 L            end if;& i9 {% S: k; _  l' Y  F
        end if;
3 s4 x: t' A, h5 q% K% |    end process;/ k: R/ o! }5 w! `2 b
   
: ?' K: A+ w+ d- \    process(bcd10wr,en,bcd1n) is7 ?, X% @& A, i. j" G$ \+ r
    begin3 m6 h9 g0 V8 _2 m, N! S0 q8 {
        if(bcd10wr='1' and en='1') then% E4 [: `2 l6 |
            bcd10n<=din(2 downto 0);6 ~9 O0 B0 M6 C; c0 x- f
        elsif(bcd1n=9 and en='1') then% Y) g% _6 _: x' n
            bcd10n<=bcd10n+1;4 Y+ n+ t4 F  [& L6 F; m# u1 j
            if(bcd10n=5) then5 V+ a) l! |, u3 j3 S  d- ]
                bcd10n<="000";  z4 I! q6 r% n; ~
                co<='1';
1 c2 o& O3 P( L+ ^- K            else0 r# K, {9 }: L  X2 U7 c, I
                co<='0';% B" c1 s& i, g- ?  T/ M, v+ a
            end if;" e) t' [( C+ T
        end if;8 i/ E) d' _( W1 l! }8 M
    end process;
$ x" Y6 |2 D" o" k4 Z   
# `4 H" v3 k8 J! B3 Y, ]    bcd1<=bcd1n;8 O" I1 s; n3 n
    bcd10<=bcd10n;1 n7 |- l' F5 K% t& H/ y6 w
end art2;/ j) v1 b& @# S9 L) M! U! {) F
) M$ t1 o( o% [5 }/ }0 i) a
非常感谢

该用户从未签到

2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点
( V  E# M9 J' |library ieee;- F7 @3 h  D( C$ l# `1 f
use ieee.std_logic_1164.all;" l$ ^. Z7 [) j! h/ h! p7 M! F* V
use ieee.std_logic_arith.all;
- m0 z. x$ X8 Q6 [4 Luse ieee.std_logic_unsigned.all;+ B. \5 d& h" P. V) o& n
entity  mycounter_60   is
/ E1 q* b6 @: Sport(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;
% d. U4 h7 ?: Y1 n2 Y        din:in std_logic_vector(3 downto 0);- H0 w# Q( f2 s
        cout std_logic;
  [5 j  k: K/ s( C; v- ?       bcd1 ut std_logic_vector(3 downto 0);
& I7 F. L; w" F1 l1 c5 R        bcd10 ut std_logic_vector(2 downto 0)/ i* I5 E6 \2 A& x' E5 M
       );
) G* Y) G2 i* T# D, Tend;
; E" r0 |5 T+ q+ \2 earchitecture behav of  mycounter_60   is
6 ^: R, d3 k# b! d! D: nsignal bcd1n:std_logic_vector(3 downto 0);
* W6 F7 @2 V7 R8 a) msignal bcd10n:std_logic_vector(2 downto 0);
/ q( ?# U2 q8 a- E4 }( `% [! \( H0 ]' W
begin
, t4 P9 e7 P4 f1 Y* F   process(bcd1wr,clr,en,clk)
3 T. y- b% G- B: c  p6 i   begin
$ ?" j- T1 v8 rif(clr='0')then
7 z+ U  _+ }* V% Y# \6 N$ N0 \bcd1n<=(others=>'0');2 h6 q- H1 R, U# P/ V

# \2 [5 @( l1 q# l% Xelsif(clk'event and clk='1')then
0 N' m' J6 @# a8 w$ {" hif (bcd1wr='1' and en='1')then
/ k0 f7 [/ C  c6 B6 g, y6 _& vbcd1n<=din;: x+ ^- L2 B. C3 o8 S0 s
elsif (en='1')then! @0 o. ^. z$ M7 c% N
bcd1n<=bcd1n+1;: L) ~: t* Y0 b7 J7 X; f3 `1 j8 R
if (bcd1n>=9)then0 A. n" {7 U$ X* k8 d' T
bcd1n<="0000";2 X. J) N' f5 y" M/ a
& r1 U8 C& e5 M. B: j# ^
end if;
3 `2 _# Y  E( vend if;2 H8 A! D/ i, j
end if;9 {" {4 j. i. R% i
end process;  W. @, i# M1 D7 [& a9 ~/ l

, U4 C3 p8 Q% O: T0 k! q& T$ ^" ]. C" _3 k; W; d
process(bcd10wr,en) ( p+ P4 \# J4 N, i% o. n$ }; W9 G
begin
& M7 Q, Z' X4 y2 i+ v- @) nif(clr='0')then
( F: T1 @# ?. s4 o: Rbcd10n<=(others=>'0');
% W0 a2 V5 c) _8 m7 @0 e3 aif(bcd10wr='1' and en='1')then
; e, R) i1 }7 T3 A( i& Lbcd10n<=din(2 downto 0);" c( I# q6 G3 S3 D9 ^- d  a/ {
elsif(bcd1n=9 and en='1') then
4 s9 L. q. p4 q( _5 `bcd10n<=bcd10n+1;7 T9 s' y, u% X& {7 }2 E
if(bcd10n=5) then
$ i  |( q  S! T# a& ]* Y& u9 sbcd10n<="000";
# ?' R5 f. \4 H0 X! ^co<='1';8 X3 E, @/ A# [0 e. I4 d% @- K
else
1 D, e6 j. [/ S: p5 A* e1 r$ Ico<='0';, r, b5 [% {  m6 M( a
end if;  v- O3 ]2 P1 k9 [$ m5 y2 W
end if;
: u* L6 d- _% c8 Vend if;
: T1 v9 h7 }1 A: P5 Pend process;1 o) m6 Z2 M$ N$ ^

3 u1 b$ p, J" \: P! q/ Sbcd1<=bcd1n;: ?3 Z/ c/ W7 w. X* ]0 D
bcd10<=bcd10n;
+ p0 @3 d& x8 b! N/ hend behav;8 t+ a- _& {' K) ?
       0 E7 l2 i3 E; p
     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-7-20 20:36 , Processed in 0.109375 second(s), 24 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表