找回密码
 注册
关于网站域名变更的通知
查看: 1046|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答1 a, b0 Y0 o& D. z
library ieee;
+ s& }- e+ N- ~) V  G" juse ieee.std_logic_1164.all;: _, g$ q  }7 }$ [9 r
use ieee.std_logic_arith.all;0 Q8 i1 I- r; B& v  ^+ u, E& Y* |
use ieee.std_logic_unsigned.all;6 q$ ^1 d3 y5 l# V, f! \
, s% M2 ^8 N2 \5 E0 }: e
entity mycounter_60 is5 e) n) M& X3 s, Y. y7 T
    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;" G) |7 D' n9 u) T% `% C9 [; ^( r8 a6 p
         din:in std_logic_vector(3 downto 0);: F5 c& t& N. k, h+ W
         bcd1: out std_logic_vector(3 downto 0);2 N2 l( y0 H- g5 Y
         co: out std_logic;2 v  N; ~. x) w9 K5 |" F0 s( K- O" u
         bcd10: out std_logic_vector(2 downto 0));
2 h& ]6 {. O$ p+ p( Eend mycounter_60;: }/ |  k7 _/ o% g( r( k

& T" J: E  ]3 a9 Z  g* oarchitecture art2 of mycounter_60 is
2 i1 B5 C# f  }. V3 V* z/ ksignal bcd1n:std_logic_vector(3 downto 0);
9 B8 }+ O- O; u' m" H, \8 bsignal bcd10n:std_logic_vector(2 downto 0);
" E9 [: U9 ]! M6 h6 }0 n- `9 Obegin3 [; A/ j- \( s1 j  r5 C
    PROCESS(clk,clr,en,bcd1wr) is
) r; |  R3 k2 o/ `) `$ F' O. I    begin2 }$ V: M( s( t- O- z
        if(clr='0') then
5 l. h3 _& I1 J/ a! g, s4 U1 y3 D  s            bcd1n<=(others=>'0');; ~! w+ G) j% Q& }
            bcd10n<=(others=>'0');
2 S- |0 T* F. j. [        elsif(clk'event and clk='1') then
3 R7 B6 y# Q* I, T7 y! y/ Q% U- b            if(bcd1wr='1' and en='1') then
* j) y# |2 M& K; V% }: G0 @& E. X                bcd1n<=din;3 G/ g0 u6 n5 c; D
            elsif(en='1') then
# M3 e+ ^* N2 G+ g* `9 a  h  F" C                bcd1n<=bcd1n+1;
2 j* Y+ l2 r* H& M                if(bcd1n>=9) then
. ]" U; j% R: a9 Q9 }                    bcd1n<="0000";
: V$ I& [# ]( |                end if;9 L$ I0 g) {0 ^' P8 A
            end if;4 S) |' x, R/ d! n, U* b4 @7 b
        end if;5 A7 U4 N' l* {. t6 d
    end process;
% [8 d! f) z* c- H' S   
6 |" _. B8 p9 b) {7 e% }) Q    process(bcd10wr,en,bcd1n) is
- X& x3 b' |0 Y( |& R0 S* U    begin7 }3 Z! ]% y2 c. o2 }# [
        if(bcd10wr='1' and en='1') then* Y* k, t7 D0 L$ f, T! q6 V- r
            bcd10n<=din(2 downto 0);% K' W) k: W# X# P+ r
        elsif(bcd1n=9 and en='1') then
# P; w& B6 D5 M6 w8 N) Q            bcd10n<=bcd10n+1;
3 U  y% f+ f! c' c( b$ U( e+ Q9 |            if(bcd10n=5) then8 A$ _( X6 X% l/ `2 f$ ?
                bcd10n<="000";" M: ?7 L& K; b
                co<='1';# w- h( W6 i' j+ o/ e' K' [+ q5 _
            else
) A0 ~' j7 G7 \8 z9 K$ P' z! D! n                co<='0';
8 b* f3 {/ n# ^8 ^, E            end if;
, f9 g5 g3 t7 T7 [! A$ ?9 O; t        end if;
2 e/ v) S. g& f+ q" ?$ i3 f    end process;
) [: l0 T. d1 U5 I+ U   % i; d! A. S( x. M; H( C4 y
    bcd1<=bcd1n;: @$ d# {5 g+ d' k  n: D8 A' G4 _
    bcd10<=bcd10n;
2 s+ X9 e* O6 w. s/ `5 ?/ Uend art2;
3 I8 v9 D9 ?0 S0 C7 y- @
6 U; D# y$ J* L" I- m6 m非常感谢

该用户从未签到

2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点9 W/ C+ h$ f$ f3 c) m' D  \5 j
library ieee;( N& q( D- r0 ?7 k
use ieee.std_logic_1164.all;' N/ p7 r* `7 @5 T. F
use ieee.std_logic_arith.all;
# a  q* Q/ V/ x. [" E+ P  Cuse ieee.std_logic_unsigned.all;
1 v3 p& q( E7 f/ ?& ?) l' Jentity  mycounter_60   is2 ~- a. @" j) b. K4 x9 R+ V9 W
port(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;
1 s8 x1 W8 K8 h- c; C% U! o        din:in std_logic_vector(3 downto 0);, J6 E/ e2 Q1 }
        cout std_logic;
, Q  z# u0 q' G3 P       bcd1 ut std_logic_vector(3 downto 0);6 B# K" L0 u3 J; v; F
        bcd10 ut std_logic_vector(2 downto 0)
6 J7 j# ?7 x0 B& i! h9 {: l( I       );8 \) R7 K7 ]4 y( q8 D+ e8 |
end;% [+ D1 p, P6 _4 H! m
architecture behav of  mycounter_60   is
) \" N( P$ z9 J7 A3 A( E- {signal bcd1n:std_logic_vector(3 downto 0);
- k, c% ]$ `( v2 V; Esignal bcd10n:std_logic_vector(2 downto 0);; a: \, _. E# x

: V- ~$ i: a1 U; R; sbegin5 g, J3 e; q5 ]1 ?8 S  t1 B
   process(bcd1wr,clr,en,clk)0 D  e# x% M5 |6 G: `; |+ Y; n
   begin
+ `' Z" `: v! b! w& c+ E* gif(clr='0')then1 I( u9 `& Z$ S9 r% _# M
bcd1n<=(others=>'0');+ D- U3 R  R2 I( H! q

- q" v8 N2 I* w" z: welsif(clk'event and clk='1')then6 ?' i. H+ S# C# ]& x& O
if (bcd1wr='1' and en='1')then
3 ^9 {$ V" r" j0 Y8 X9 x" ibcd1n<=din;( `/ j! S5 W4 F, {$ n- g. G
elsif (en='1')then
. O) r2 S4 G  F  c* `bcd1n<=bcd1n+1;
* I4 z  q8 w# A' a  ^- Dif (bcd1n>=9)then7 J2 y9 b/ f0 x! C/ b
bcd1n<="0000";! G3 Y: z6 u1 ]: k1 z
5 c/ I9 ]$ W% {
end if;
) t; ^5 p/ u& P1 F# Eend if;
& n+ l( Q( k. }) `( |) S. R+ hend if;  B# y9 S; D* |6 J7 ]
end process;
, A# k  m2 f+ w# E8 _" t0 B/ y  w7 n
4 ]7 y% C# w0 k3 u& n- ]+ O% ?9 d; W1 `
process(bcd10wr,en) ) w# {5 @" F) i4 h  l8 w# T( x/ ~/ M
begin
  d( V* f) j5 s. Sif(clr='0')then: n3 }* F! [6 @' K  Q$ A! p% f
bcd10n<=(others=>'0');* J7 m6 }4 S; s; w& ~, b
if(bcd10wr='1' and en='1')then  b; g! H/ {$ M. z
bcd10n<=din(2 downto 0);# A* d2 M4 s1 u5 ~
elsif(bcd1n=9 and en='1') then
6 o; X1 Q- G& Sbcd10n<=bcd10n+1;; N6 g5 G" C5 I2 h+ Y  P6 W
if(bcd10n=5) then# O, |% h) g8 _* r/ z
bcd10n<="000";
. s4 m0 w  u# X( zco<='1';
8 L7 s2 s  y* Oelse
! @* ?& v9 h. u# A2 j6 \& jco<='0';; q/ v$ M6 f0 B+ W2 T
end if;
  N  L' j, W/ r( M1 cend if;
1 y$ [9 w4 Q+ x0 f# j. |4 c, Wend if;
* b: ?5 p1 H) M3 t- }8 Iend process;% x: {$ @( ]! n2 r- n, t+ {! X0 _; H

3 v! Y% {2 s# Y* [! `' ebcd1<=bcd1n;+ _" J) N4 k/ k9 Z8 n, I3 E
bcd10<=bcd10n;
$ l2 [  ]" ?. d+ s; q! Wend behav;
5 ~: A: N% m! j; e3 X; _       ' ~5 E8 m0 B9 ]8 T
     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-10-30 04:10 , Processed in 0.140625 second(s), 24 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表