找回密码
 注册
关于网站域名变更的通知
查看: 1045|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答- x8 y: S, c3 O& f& t
library ieee;
" U9 @7 u  C* f- ?  }use ieee.std_logic_1164.all;
! w$ S% m0 {1 x2 X8 s4 v8 O& Muse ieee.std_logic_arith.all;
! e+ v- t' y9 R; Z7 C' F5 i8 guse ieee.std_logic_unsigned.all;
, D8 i/ b! j" G' Q: p- m' ?  u* K4 q, q; ?4 O
entity mycounter_60 is4 @7 d- c# M( m; ^  L3 M' P4 Q
    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;
4 }, F" i, ?1 G& ?+ }  e  W         din:in std_logic_vector(3 downto 0);
+ F9 p5 W. z& ?# [: y4 r& Q         bcd1: out std_logic_vector(3 downto 0);
( q! O& C9 s% T- N3 [% n( D         co: out std_logic;" _) Y9 B! V  K1 d
         bcd10: out std_logic_vector(2 downto 0));
. h, S' A& s5 }2 _$ x; b! L' A! x$ ~end mycounter_60;( T- u8 @5 s) N0 h7 t
, X8 Q1 p+ Q% Z6 s' R
architecture art2 of mycounter_60 is
7 H1 l- z! t$ M- K6 j3 Zsignal bcd1n:std_logic_vector(3 downto 0);$ c* e6 R3 J7 W4 Q
signal bcd10n:std_logic_vector(2 downto 0);
7 E" c3 j- B8 X% ~$ `/ Ubegin
4 J2 E, \1 x3 _8 O, C- s9 ~7 S    PROCESS(clk,clr,en,bcd1wr) is/ {. l9 M2 s: d/ ~: `
    begin
% W3 }) s( U3 A) Y        if(clr='0') then
# v7 X) @3 W' d# z9 o            bcd1n<=(others=>'0');
1 I! p  W, S# ~; {            bcd10n<=(others=>'0');1 K/ X& X& }( m( M6 s8 m/ H* A; j
        elsif(clk'event and clk='1') then
: |0 C% D- L1 R1 |* ^            if(bcd1wr='1' and en='1') then
" _: F3 e, m; y7 Q* T; e                bcd1n<=din;! S1 a3 m  L( o2 C  U* T7 Z' s
            elsif(en='1') then- e; j# g8 |1 J5 ?
                bcd1n<=bcd1n+1;3 g" K/ [* s3 ^4 p- d2 L! O
                if(bcd1n>=9) then. I, v7 U5 L: L. F  |4 O
                    bcd1n<="0000";. x" m% g7 s( F8 O) S7 E& N  m
                end if;: R: m/ y) L  _" t% v) b
            end if;
( W' V' v: n" _4 a        end if;
/ }3 }- @" W; `" a& |( ^    end process;
6 \5 b% a8 o# `1 v   + t; \1 I9 o9 t% `; O+ P- g
    process(bcd10wr,en,bcd1n) is  v% R6 L& e# d& X2 \9 i
    begin  B6 w$ B; s( A1 k5 W
        if(bcd10wr='1' and en='1') then" u- s: S8 K3 u$ R4 @8 V
            bcd10n<=din(2 downto 0);1 ^4 o6 h; c/ a; l0 g; O& E
        elsif(bcd1n=9 and en='1') then
' z: h4 ?0 Z$ [4 X% C7 j" v8 T9 v1 I            bcd10n<=bcd10n+1;
0 ?4 G9 u3 W; q& Y& m5 x            if(bcd10n=5) then# [6 g5 u) o' K$ G1 l
                bcd10n<="000";4 |# |  j/ F- U: ~
                co<='1';: u  M3 o5 S( U9 t
            else
- ?; Q6 R- M4 C  s5 z! O5 Q3 a                co<='0';, M" ~1 y4 \  v4 s; s. m
            end if;' @- v! r- E* f
        end if;  G, @1 q- s5 a; [. w
    end process;
) G5 a6 D0 h. F- o   ' o$ r  W0 I( [! I4 I1 u, S  M
    bcd1<=bcd1n;
4 N# Y4 X0 Y* A4 c8 C& f    bcd10<=bcd10n;& U8 U4 s2 B, g: _
end art2;
* u/ I1 G% e- r) m7 u4 U# D) @7 W! G5 i5 [
非常感谢

该用户从未签到

2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点$ N$ Y* V) ]) d3 {" l$ Z
library ieee;
0 ^0 l7 u8 ?* `7 guse ieee.std_logic_1164.all;6 I- a$ R2 b; C; o
use ieee.std_logic_arith.all;
  j: T2 g8 l0 ~) E- C6 G. n6 |use ieee.std_logic_unsigned.all;+ Q' p! Z2 m1 D; D! L3 p" w
entity  mycounter_60   is: f/ t+ E5 ?, ?% L& @: o; E% K8 P" Y4 h
port(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;
. Q, S2 v" Q3 y$ y: l: J6 k        din:in std_logic_vector(3 downto 0);
4 v! b5 Z/ o( f3 m) y. O- U6 i0 l        cout std_logic;% S9 R; u/ f+ Y. e
       bcd1 ut std_logic_vector(3 downto 0);
1 D! K; r; I+ d& J        bcd10 ut std_logic_vector(2 downto 0)
" W$ X4 O& l7 p* W" x       );
+ `( O1 i5 l$ L9 T# a3 Mend;- g9 L; T, n% R5 Y. D
architecture behav of  mycounter_60   is
0 }- E+ P4 T$ [% {% \4 u- _/ `signal bcd1n:std_logic_vector(3 downto 0);/ g; s# U  q0 n1 M7 F2 ~8 ]
signal bcd10n:std_logic_vector(2 downto 0);) K- B6 a7 b8 F; v& J( ^6 F, {
; z" `- l" @& X
begin
  D, R; E. c8 K   process(bcd1wr,clr,en,clk)2 M9 R$ C  g2 n0 x8 R/ H1 L) U( ^
   begin . L7 F' X  d6 F) N" I7 g3 H& h- v
if(clr='0')then+ q8 Q6 D, _$ {+ ]+ T# k
bcd1n<=(others=>'0');2 O( ~4 Z1 R, H4 x" V) ^
7 \, n$ }: T$ ^+ |8 M
elsif(clk'event and clk='1')then! F; G, w! A1 `( C5 T* ]
if (bcd1wr='1' and en='1')then
' M/ Z) X. C0 j" nbcd1n<=din;
  c) F4 x+ g4 x; C  D# Aelsif (en='1')then" P; j6 B7 {3 G3 b& t1 n
bcd1n<=bcd1n+1;& U4 O: Z/ o" M, `4 R+ c$ V: A
if (bcd1n>=9)then) I0 T/ ?* d2 |9 `; p
bcd1n<="0000";
. W3 v4 T5 L: I6 e* Z: e. L
) q7 e7 w: p; P! W' `) uend if;
' ]# P% E7 c- [* ]+ y2 ~8 kend if;
9 z' u  W$ g! k! _end if;
3 G9 p$ ]$ B5 L0 w$ M, uend process;/ C8 L0 x% v+ N4 A& ~* W2 c: |" K4 `
4 o7 p: B% Y7 J! q" H1 n( {- O  m" j7 w

) u/ _  n, `  Y" ]process(bcd10wr,en)
/ q8 b1 E5 h8 B% O/ J/ Hbegin6 D6 n  ?) d* B" z
if(clr='0')then
2 b. V# u" ]1 B9 M3 mbcd10n<=(others=>'0');4 z* d) {2 u, I) o( v
if(bcd10wr='1' and en='1')then1 r4 A& r" C/ ^& I! B
bcd10n<=din(2 downto 0);
+ K, T6 {3 c9 ^. N. P0 ielsif(bcd1n=9 and en='1') then
8 |7 G9 ]! H4 j/ Jbcd10n<=bcd10n+1;" b6 G# ^  t* @7 E
if(bcd10n=5) then7 g* {# ^2 h8 {. J  o* y0 R, S
bcd10n<="000";$ n( i& ~8 I7 ^3 Z8 ^/ L- r
co<='1';6 V) t% ~1 K1 q* W
else, k- S7 z% S) [0 O" `
co<='0';9 X& y9 B; e. y9 x) ]& U; G+ N
end if;
4 M4 l3 W) i: a. o: yend if;
( p) N* a* \$ h. u; K7 c) aend if;4 P6 |9 D  b7 X2 c+ O- c
end process;
* i; ?. U! d% u9 a8 b6 L; G. e; h) m8 o% e
bcd1<=bcd1n;
- r. I" X% P/ Z0 @/ obcd10<=bcd10n;
. T7 p9 Z& h+ ?5 }. Q4 F+ vend behav;
0 J- q. j/ f  A5 z' F* `' t       7 L( @0 x4 N  {+ w
     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-10-5 10:56 , Processed in 0.125000 second(s), 24 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表