|
|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
引言
6 A& V3 D7 z# ~& I7 f0 J; f7 t实现双时钟域数据的交换,最常见的方法就是采用双时钟的异步fifo。但是对于单根信号线,如果仍然采用异步fifo就显得非常stupid,这时,往往通过两级触发器来实现同步。
2 I& d% q H& ]. G% G
( k! @5 t" |/ _2 ^4 u G: {那么,两级触发器是如何实现同步的呢?本小节就做一个简单的分析和验证。6 X! J$ U6 J6 w- @+ D
" j2 b1 t& B( w1 h/ M1 e* f b/ q0 X, x* ]
1,一段代码8 Y4 @; M7 i# E8 o, H4 |# o9 P% G1 Z
: _& I* W5 i0 G
下面是我刚刚从ORPSoC的工程里看到的一段代码:
) L5 H1 |4 i3 m0 a+ A0 T; C& c: T6 j$ Q8 ?& G7 E
4 [! M5 }: J u
//'ddr2_writeback_done':generate in ddr2_if_clk domain
0 ~5 y; U/ O, ~; o3 }% Galways @(negedge ddr2_if_clk)
; z3 K" G: X3 L! e0 t if (ddr2_rst)
1 R1 a9 I# ~. k* E- b ddr2_writeback_done <= 0;
# T7 x8 A2 n& V1 V else if (ddr2_writeback_done_wb_sync2)
/ G7 L* o, f6 |3 w) E" } ddr2_writeback_done <= 0;: a( M0 N: l. H; O v" l
else if (ddr2_write_state_shr[6])5 \# ^0 `; p3 u- {$ y) z
ddr2_writeback_done <= 1;% G/ o7 R3 O. Y& Z: p' D
4 i- c( n' o) F* m7 G7 |//'ddr2_writeback_done' sync to 'wb_writeback_done' in wb_clk domain
; ~: R+ K$ }7 Walways @(posedge wb_clk)
3 u* }$ K0 A. V8 Y) ]) ~' h$ S4 ~ if (wb_rst)& h0 i8 F ^% k& k2 \! N
begin
5 C8 Q& v" d: ^! ? wb_writeback_done_sync <= 0;( p# K# u/ u' f
wb_writeback_done_sync2 <= 0;% Z4 |! i* B( D5 w# g8 ?) e/ H# D: d
end + W, ]- ]0 T8 x! U2 @' b% U
else
2 G5 X. k4 _9 C begin9 P' _( h' w, j, E, E
wb_writeback_done_sync <= ddr2_writeback_done;
9 z- ?& e& ^, n5 {. h8 R0 s wb_writeback_done_sync2 <= wb_writeback_done_sync;
1 n7 t$ d9 [+ {' r, Q7 h end
$ F) v% f' r- @- i8 d( A
+ V: A2 u+ n* a6 k- Y. ^1 yassign wb_writeback_done = !wb_writeback_done_sync2 & wb_writeback_done_sync;- r. m# }4 M+ T+ i; ?& h" u7 z
9 T6 [. M P+ }7 u9 f, o9 A9 j
' x# `+ Z( S; W8 Q* b//use 'wb_writeback_done' in wb_clk domain
: K( B; E4 o* U- W& Nalways @(posedge wb_clk)
4 J7 D: O# l# D! _ if (wb_rst)
$ a9 ^6 A" S H+ B; G do_writeback <= 0;! a3 |: f, v a! [6 N- _
else if (wb_writeback_done)1 S& F. B1 k4 o6 R6 Z3 M3 k
do_writeback <= 0;5 Y. c% d/ f4 E E/ M
else if (start_writeback); X/ e/ Z ]& ]
do_writeback <= 1;
' J( U/ o! R: e% G
9 r! O: C! K1 ~& c1 o) A) G * J/ C- l( x# M3 H" ^
P3 a8 x, j& i- G( {8 U- H
( ]- Z3 r* _7 x9 r. k3 @
1 L1 @* F x; l% ^: W这段代码就可以实现两个时钟域(wb_clk和ddr2_if_clk)间ddr2_writeback_done信号的同步。' I, ]1 E" a" x" L$ h5 Z
$ f& i4 g, r. K0 i& P* Q& P. y
8 h* |9 ]$ p) j' N9 d
& w( I) `8 W, x2,编写test case
; a( M% z: {* [( W+ V6 x为了更清晰的展示其具体的同步过程,我写了一个简单的test case。
0 g- G4 v6 A' E8 K" v& u+ Y% m- W
a,可综合的sync.v:
2 R) z) Y X- m+ @7 O' [* _4 @5 g r0 c3 P# ?7 R
0 c1 s% B; _! P8 ?5 T
4 d7 C l" ~: N- b6 s* Y
/*
* t; l1 z: d) {6 w1 A: `. [' u* file name :sync.v
3 E, S9 W( u" m6 n3 l* author :Rill
2 q0 L$ f& n* F: D* date :2014-04-124 x' t$ f. S) n8 }" g- B
*/
* t4 d) P, R1 q
6 D" T% W# R$ M+ {. J8 n) l" E4 w8 i! r9 P, K1 a
module sync' g- |0 B1 g) ]0 ?
(
( y$ [8 n7 n& r5 S/ o* rinput clk_a,. x/ A9 g# w8 G3 ^( ~& O/ z
input rst_a,
. b( G6 z0 \* v0 M0 Dinput enable_a,
4 @) ~% M. D' b+ S h& P( T* p' G5 `9 e. N$ [* ^
input clk_b,
: I# U; V4 d/ E5 _& P2 ?1 \input rst_b,
5 ~' z( H6 W% U- Koutput enable_b
2 ~# @& D9 i' Z/ X* W);
$ \9 K: T/ M2 V7 F& I. H' [% K- n1 }" i) t1 |9 m7 l! H
reg signal_a;/ }4 I$ u/ _ R6 F2 x
) c% g) U) p6 |2 x0 f- M- {1 Yreg sync1;
' K! ]2 N5 W9 P; kreg sync2;; b1 m/ E* j6 L# ^1 h+ j+ C# b
2 x# ?, r( Z) R& ~
wire signal_b;/ H) C+ M M5 ]
reg enable;1 Q9 r' \+ h0 F
0 z% h: k8 u6 h5 Y2 P2 V& z. Passign enable_b = enable;
( g4 |8 A: E' X# C8 \9 g; o2 |6 l/ E* J# D
//'signal_a':generated in clk_a domain
; V: w) m% P2 J0 `0 ualways @(negedge clk_a)
& ^$ P3 W" x. W5 ^5 N* ]begin
1 f' `. U2 Q! x2 H) Q if (rst_a)# z. z* t5 a; p' L( ]* F
signal_a <= 0;
* r3 b( i/ a/ ?, [+ X4 R2 t1 l else if (sync2)
) ~6 C3 R& q, W; O signal_a <= 0;
8 M5 l" l" c# D% ] [. y else if (enable_a)
9 t0 P7 q& T" i. L0 a% K( x signal_a <= 1;
2 R% I2 i( J$ d( Y& G+ Eend
, n0 W6 c6 H8 [0 B5 g/ [//'signal_a' sync to 'signal_b' in wb_clk domain& E* d0 k/ W" ? ~
always @(posedge clk_b)
* j3 [9 Q+ _' Q2 ybegin1 a3 m# |/ ~9 h5 a( [( g G
if (rst_b)3 x7 g; M6 O( {, z& Z+ i9 t
begin% i" }! q; [# y$ m0 P: S7 o
sync1 <= 0;
$ D4 ~) w% O3 `; W/ t/ D sync2 <= 0;: w. e( K! H7 y+ o/ ~; e
end 7 y4 F: r% t* p4 a
else
1 f. m7 n' b0 h( O) C0 A- ?& Y: n% Y begin, g1 P, T* `# B3 G" U8 K" Q
sync1 <= signal_a;# K4 o" v2 J0 q, F6 L
sync2 <= sync1;, Y! r' E; W) n- ~" O
end' u4 N- M; F7 I9 J) v
end
1 V; ]* v3 s, o4 j: l0 t6 N- z% R( D& z9 @" I e
assign signal_b = !sync2 & sync1;
4 S5 Z7 _1 S+ E* o4 Z. M$ z3 w
5 c8 ^( I2 Y7 U: Y% S: b/ u; V9 Q& C6 w1 x8 l2 E" \$ _
//use 'signal_b' in wb_clk domain9 {, @5 l1 ^1 z5 P/ \; C- o6 a& a
always @(posedge clk_b)
1 ]; N5 |8 N2 ]. Kbegin/ X2 B- m6 K7 t, l+ t. o, P
if (rst_b)
8 e# [7 t' ?" f7 w* m enable <= 1'b0;. S: s2 h( i1 Z/ N
else if (signal_b)
$ N" z5 l! ?% j5 I1 N6 [1 Q enable <= 1'b1;
6 |, ]& u+ o( V/ A7 M else
e5 I. O6 R. [ enable <= 1'b0;; @0 w# T( g: k' E. Q
end
! |3 f$ U) J- s0 ~
9 s* n2 ]! a1 k& N+ Y: i8 L" N: ?1 _
endmodule
6 x& J1 ~1 m4 F, j/ z6 t4 U3 x
& v& A/ f) p- C5 q/********* EOF *************/7 q+ P' o1 A8 a
: m7 K4 u- a x; P6 o/ D% N ]# |+ P) Q* P: S
b,不可综合的sync_tb.v:
" G3 @+ Q: O4 {: S) v: c' ~; B, `" s7 L5 |
/ k. ~7 {; W: d% ]. W+ ? ]( f1 Z
7 {9 D# C+ y/ l. k$ K, w" t
/*
' V4 m. O# i& d) Y, L2 J7 p" Q* file name :sync_tb.v
5 E" H9 `' c6 Z. ?6 q* author :Rill) Y; k$ I9 ~- s8 N2 r
* date :2014-04-12
! T" n5 Q3 o, ?2 a; R7 H*/, b# ]' H' E& @& n4 m7 V1 R7 Z2 ]
8 d g _' d/ d' ?) {
`timescale 1ns/1ns( R8 w' ~, `+ q8 n( W; l
2 m( H* N$ }( L2 Z7 X
3 L/ w' a" q( n* ?. l! y/ y* i9 k
module sync_tb;' T Y1 h1 g* C; M+ k# q
1 C0 E- Q ?, d9 R2 r$ @* M
reg clk_a;2 V1 y2 A4 Q1 i
reg rst_a;
4 B- I% _8 z2 X! ?% ^reg enable_a;6 N. N9 X9 F0 O; T. g
! z1 @+ R4 ?7 Y# A% c
reg clk_b; U" e# c" V, Q J9 P
reg rst_b;2 t. x6 m) E4 ?0 j# [; D
wire enable_b;' e1 T/ q3 ?' h0 W# a! J, h/ Q
5 z$ b0 f5 B; Z6 Q+ w7 x
: L' X( l5 J8 f
parameter CLK_A_PERIOD = 10;
- u5 ?1 ]/ X, }: \parameter CLK_B_PERIOD = 30;3 V0 Z) h2 l* n( x4 g
+ [$ B3 K$ w# s2 y* `' K//gen clk_a
2 |) Q' U- X! `8 kalways #(CLK_A_PERIOD/2) clk_a = ~clk_a;7 b6 T7 t7 r/ n- L
0 o( K+ r/ Q/ R4 z$ H//gen clk_b" \- s& P6 j+ b) f9 G3 m! R% {
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;
4 E3 L" c8 a4 ]+ X) A. c7 m( d/ n) ?# j+ C) w
6 R# |1 Z7 |! s: V: [& h//gen clk_a domain test pattern
3 d6 x5 Q8 v; ?) b# G9 tinteger m;
$ [7 V" {# X8 _5 L8 D: t# x8 ^- u; R9 r! o4 ?
initial
; J9 Z( d: j- P+ W# ~6 E7 fbegin0 a4 |" C) R" d* F% ^
//rst0 d8 p: q# f$ ~. I" f( P
#0
6 x; b% O+ b6 [% l7 t+ R clk_a = 1'b0;
9 [; _: |, t$ t1 A2 U! @) m! K0 y4 Z repeat (10) @(negedge clk_a);
) e4 g1 x- H. F, E! o rst_a = 1'b1;
; e+ h( S+ T' ^1 S% Z8 Q6 X repeat (10) @(negedge clk_a);
; c, Y6 V& \5 K" G0 I& s rst_a = 1'b0;
7 Y. v/ _ H% ^7 y7 c/ v $ m! l. D* `8 r8 U, i
//wait clk_b domain rst done; Z$ j n# D) T
repeat (1000) @(negedge clk_a);
5 |- N: P; I# [* p ]# ^# k f
$ @5 w1 ?2 f _4 j5 z* [ //gen test signal
# T, Z2 f# w' M+ `! K* E& r6 m8 J4 O for(m=0;m<10;m=m+1)
& P- _2 ]8 ~( e8 D begin
6 g! k2 r+ i9 D/ l enable_a =1'b1;
" D# x' u) G- W8 h: ~: T @(negedge clk_a);% ~. {; B ~1 H! _6 Z
enable_a =1'b0;. g' w8 Z! G' O8 a( {; M
$ m# ]0 k5 B* q( E' {# \ repeat (100) @(negedge clk_a);* n: X) a, a: `7 W+ X. ?, N6 z( [+ S
end9 j# g2 ]. a* M9 x1 A8 b
* f, ]$ a) V0 s; ^0 r$ X% c" S; x repeat (1000) @(negedge clk_a);
9 e" t0 [/ g c" a, z $stop;/ p2 h8 ^( Y& Y. k& K2 u- {
: b9 ]$ f* r; `; dend& @9 F, o+ E" R' I/ u3 t
' T7 S# n- R g' L
initial
2 l2 p R P2 l; mbegin
2 P* `# F, P: Q; ` //rst
& h+ Z u: D' a6 X' x# O #0
9 C4 _$ `1 I) F6 Z' e' J% | clk_b = 1'b0;
5 Q( Z+ h, X1 o2 q" _ repeat (10) @(posedge clk_b);) c7 a4 P) ^# ]. t6 T
rst_b = 1'b1; x5 f& F) I) @2 I" t% I: K) L
repeat (10) @(posedge clk_b);
* }% J0 [( t0 i2 j0 \ l rst_b = 1'b0;, {+ ?- c. C# C
7 M* {8 I0 C! N& g, o) e& K* T t6 t2 bend& U3 J- K+ Y! h4 @
" c4 s2 c- b* Y6 E8 Z3 B+ x! a5 V S+ A
sync SYNC0
6 ]: F; }5 c+ [" |3 K/ }($ [- l' r3 w2 ~' P* [$ `/ h8 Q
.clk_a (clk_a),
! e8 D% |9 ?3 N4 S. P/ O( K.rst_a (rst_a),
- b1 E z x+ W+ D1 s# y.enable_a (enable_a),
6 `+ f3 M, x4 R! \: z! j1 X& X
3 _. f3 o: M5 m0 u.clk_b (clk_b),5 [0 Y3 v1 X1 ?
.rst_b (rst_b),
# {- L. | R) D, h% o+ H.enable_b (enable_b)- H% ~" G$ e" {2 _+ ~( o$ ~% N
);+ t7 j7 n8 v- d/ K8 A6 L
" J4 X: X! P8 w
endmodule
4 w' m+ }; B; \3 D0 I, X% r8 d! |7 x/ s; R/ E! n- c' `
/********* EOF *************/
" }7 V5 S- ^0 o& A; G) b6 G; h( w% n( O5 P' t. P; g
9 b( G: T4 T8 e1 b. D8 @, h) b3 K' S" b, Z; s
2 ^3 L5 ~/ y1 l, R" r3,前仿验证A
0 K4 j) L- v3 E下面是前仿的波形:
& |% r5 v/ b+ Y; E/ b; h" }6 N4 e& D- r3 M# I
8 v5 s+ P& b! g5 S. {
# k: d: P9 [0 s+ O
+ A1 @1 v# T* b) N* N( ^8 I& |$ O" O& V
从中可以看出:
; f1 G3 @, N- I9 I7 |/ ?
$ q3 B- G+ Y: ^; d! o w* b! P9 Oa,clk_a是下降沿触发,clk_b是上升沿触发。
L/ t& O1 u! Z R( V& h1 w `+ |
8 z# @3 B$ \7 [+ y: P4 v# Db,先看m信号上面的波形:
$ ^# F6 n# ?* Q+ v6 y% W/ ]$ S" C8 a7 \% _. w; T6 K8 ^
clk_a时钟域产生了一个enable_a信号,这个信号被clk_b时钟域detect到了(enable_b信号)。
0 N" O. K3 x! d/ L5 I
% S! d6 o4 D6 G$ X$ u. wenable_a信号是clk_a时钟域下降沿同步的。enable_b信号是clk_b时钟域上升沿同步的。
8 b' \9 k* M! T' B6 L- c
0 B4 i( r( Y9 A5 F6 w6 R ]! _" m. L那么具体是如何实现同步的呢?我们再看m信号下面的波形。
2 u% y v4 @# v- h$ S) j- }
+ R8 w2 I8 |& q: ]6 a: _$ Nc,首先clk_a时钟域下降沿产生一个周期enable_a信号。
' H3 c1 v6 U2 c( `8 {' E7 V5 ?
d,这个信号寄存到和他同一时钟域的signal_a。! w6 {( p6 C# J! Y* M. Q7 M
4 Q- m( i0 g, `9 q7 p4 ^
e,异步的,clk_b时钟域上升沿采集到signal_a并将之寄存到本时钟域的sync1。: j; _7 L- M% `) O9 x+ E& Z* K
- V2 x9 `2 L. b0 w9 ]6 D' F0 Rf,在clk_b时钟域,经过一个cycle,将sync1传给sync2。这时,即可采集到signal_b信号,而这个signal_b信号就是在clk_b时钟域的。
, ]1 X" M! e7 E0 b" j. U% S/ H; z. j
g,异步的,在clk_a时钟域,在检测到sync2有效以后的第一个下降沿,将寄存enable_a信号的signal_a清除。
0 R! {/ I. F$ v( G+ i% N% i' K4 b$ q' K# Z
h,整个同步过程用了6个clk_a周期,2个clk_b周期。3 s6 z) x" f( [, i7 s3 u# m! ^
; w9 V% [% @! Y/ P/ E
: U8 ^( @( G( ^* C0 F3 {4 e
" o! O/ U4 n2 i8 C* R1 v4,前仿验证B/ `, D+ v$ l; L' W6 Z& } U( `
从上面的分析,我们可以看出,采用两级触发器确实可以实现双时钟域信号的同步,但是这种方式也不是随便任何时候都能使用的,如果是慢时钟域同步快时钟域的信号,则要求快时钟域的信号产生的不能过快,否则将会丢失部分信号。
$ W8 k* `5 ^- r8 Q; z
7 d* p* |# {) a2 Q还是上面的sync.v,我们修改sync_tb.v中enable_a产生之后等待的时间,就会发现有丢失的情况发生。* t2 {4 T1 W6 R" B& }
, i# G j& O8 b' o; P: {
修改后的sync_tb.v: p! Q/ }- R# x0 G k
6 j' s$ l+ }& q: m2 S2 T# Q
( \" m, E' d Z* b: e" N! o. c. @4 ?% u' i5 A0 W- H+ ]
/*
3 S6 z& z& E+ Q7 y* file name :sync_tb.v
% R2 X! P: Z5 K* author :Rill
( z2 b7 p" u( b) l9 Z* date :2014-04-12. E4 |( F% v6 P1 U. ^' ?
*/
+ \5 O% l& w5 Q- s
9 i/ a! ~4 U K S`timescale 1ns/1ns6 l6 ~/ z* n6 \" K
2 H0 G% E' D; m6 ^: Z0 |9 J6 H j) Q1 R/ B
module sync_tb;
) ^) _$ D" G1 `: t+ {# j4 Q w; ` f" T! z
reg clk_a;3 q. u$ E- S8 J/ \8 d- L
reg rst_a;
, ] k/ n, t* e# Z) oreg enable_a;
+ p" q$ v0 O! o/ a0 g, i
5 r# K7 s" c/ T1 @reg clk_b;5 |' _3 | [7 y) {
reg rst_b;
) w! K1 N0 t: f" }' Q, I1 j' S( ~+ lwire enable_b;* Q+ ]2 k/ K/ x) N4 X
6 w% L* M0 a6 {4 o* o+ S) b! `3 n7 p% z
8 w. c% |- g, P; Mparameter CLK_A_PERIOD = 10;, f) C) E5 b# n. w
parameter CLK_B_PERIOD = 30;
+ L5 _3 }6 X3 F W+ k) [; _+ {6 \+ D* C6 X+ d8 q
//gen clk_a
2 i8 G. r3 n0 @! talways #(CLK_A_PERIOD/2) clk_a = ~clk_a;
# Y9 I' i$ r* ]" Y" {
1 n% |; _; N- M- c1 r, n3 b. O//gen clk_b
2 ]7 M" K4 D, g$ j Jalways #(CLK_B_PERIOD/2) clk_b = ~clk_b;- }; T" }" d) u$ Y( H, }
' {9 {8 Y, x' [+ w
2 B( l2 c/ `1 i% M7 i: l# D//gen clk_a domain test pattern$ M- j/ g' F8 Q7 }1 |
integer m;* O& D( J5 r7 @* d; S8 H8 Q3 {
7 W/ X, Y4 e5 L9 l- q
initial
a( N# q6 B; n8 x& y3 Cbegin
* b. h; |- _9 H a% n: @0 } //rst9 I' \+ L4 U, L$ l9 ^" K
#0
3 D) P' ^% m; O7 x clk_a = 1'b0;. y, X: g$ G! h" ~1 S" [
repeat (10) @(negedge clk_a);( }& D. [) l& a, O* P
rst_a = 1'b1;2 X" Z' E/ T4 \7 h
repeat (10) @(negedge clk_a);. m& _9 S8 |; l( V) q6 b
rst_a = 1'b0;* I3 m5 }! E+ R& i: t! e8 L
) ~% L. p) j4 Q1 B
//wait clk_b domain rst done2 d5 W2 `2 C: z: S* ^
repeat (1000) @(negedge clk_a);
. c" O# b3 s1 @6 y4 E. W
" l7 l4 n( E1 k1 K9 a( s7 ~ //gen test signal8 @7 u- B$ Z% u9 X1 a) S
for(m=0;m<10;m=m+1)
9 ~, C# i! M4 ]) s/ } begin! N5 u( ~6 F, M/ g4 Z
enable_a =1'b1;8 M' @9 k F3 O6 ^
@(negedge clk_a);
$ G) s J/ k0 [9 f" p _ enable_a =1'b0;
4 _* p+ w- E% I6 L. Y. }# {
) l2 e6 l" l$ x$ y. S& Y; o& O; C repeat (3) @(negedge clk_a);//modify!!!
5 `' I3 N6 v, ^ J7 n5 k- o, [# ^ end5 \7 y3 c( M4 a
7 p* l0 f+ q1 b9 l1 R
repeat (1000) @(negedge clk_a);; ^" {1 W" T9 _8 O4 j, v4 x
$stop;
% J9 `9 q) E9 S* I" Y" E
$ q5 M8 n% r5 X5 w0 ]( S. Uend
" S- H) b2 V9 G3 Y/ d- j4 Q6 G0 X5 v
initial6 Q' R9 }: ^0 _0 A- B) [
begin$ m8 J3 @ M; D
//rst+ P% R2 W$ n( L2 y+ `9 M
#0
4 Y+ E3 e4 m5 v6 Q+ _ clk_b = 1'b0;6 a6 K0 `: D; ~% p6 P7 g# P
repeat (10) @(posedge clk_b);, ^3 {( V6 Y; W" k( W
rst_b = 1'b1;
4 O5 W/ n. u+ T4 e" J$ Z0 q repeat (10) @(posedge clk_b); u9 ^- C, B) P. ^
rst_b = 1'b0;2 @5 M5 S! o' V" A9 ` N0 z
" g+ C$ X, Q2 Y [# m/ A% ^: Z9 _
end; x7 C9 u( @& t1 x0 L7 V
1 n6 N3 O8 Y( _7 f2 L/ d: }5 D S
/ y6 e1 Q C; y+ o8 Isync SYNC0* h! E' i4 _2 C2 l
(3 p' V4 ^) D5 u% E1 |, z5 y0 R
.clk_a (clk_a),; [: l3 j- [0 F$ w; t5 N
.rst_a (rst_a),
6 E, u q7 Z6 ]9 V s+ Q, g9 S.enable_a (enable_a),
2 o7 N8 W* ~$ e( ]- o6 |/ @7 t+ P5 U8 ~& V- e+ }) V
.clk_b (clk_b),
/ P1 }/ l% @; W) l4 v. a.rst_b (rst_b),
6 a* N4 I( Q' y( I2 G.enable_b (enable_b)$ n; h( N# L* h; m; U x; p- |% D
);
1 X8 e8 Y7 F7 Y( G% u" P0 Y
1 {0 L. P9 G* B4 b% W: kendmodule
2 `4 e, n6 K$ V- }) A Z3 a( G0 B3 t4 \& t# ~
/********* EOF *************/
; ]2 x6 u" r2 i$ m+ m% F$ V
4 R8 v3 t0 T/ j J; _/ Y0 X下面是修改后的前仿波形,如下图所示:9 t1 P, G6 L% @. \
从中可以看出,clk_a时钟域一共产生了10次enab_a,但是clk_b时钟域只detect到了4次。% B' ]0 c) ^- M& L4 ?5 x$ L
' c6 |+ J% A2 U, U6 [
, ~' ]; W3 [' o5 E, E
A/ k: e! g! I' w# O% T2 u0 H7 D3 ?+ [' q9 h0 }
' ` Z% P/ `) R
5,前仿验证C8 j. V T9 X ^* ? Z
上面是从快时钟同步到慢时钟,如果快时钟域的信号产生频率太高的话,就会造成丢失。
; N# g" y' e; A5 f6 I9 r1 U; n( ~" T0 z) n7 }) @# x
那么如果是从慢时钟同步到快时钟呢?
5 `4 `( c) A$ R V3 {$ v$ `: x/ d# k) h8 p' X# I
将clk_a和clk_b的时钟周期互换,每隔一个clk_a就产生一个enable_a信号,结果如何呢?! \/ b2 k) \5 E) y& H# n. ^
* r% b( @ P& s0 F9 }7 ?
修改后的sync_tb.v:' \1 R+ P' d8 U* ^
; a( a1 i. g& Z6 v+ A; }3 d% T
& r4 l8 M. m4 t9 ~# _/ r# j
& D7 {( F" J2 i2 W: s9 j/*
- _7 x8 u) _: ^2 C* file name :sync_tb.v
% R2 L i" q; [* author :Rill
5 J8 m; N+ X6 i) c% @- I* date :2014-04-120 q9 ?) g: Y) D2 o
*/
* {; r2 J) v( H& x' l
7 t1 v2 D+ B& v- Q4 ~! W/ t# c`timescale 1ns/1ns
/ `$ J' ~3 ?/ M: Q4 M1 [7 P
7 U# V8 ?5 m5 O. c- C& H" _
6 j Y* J6 a0 O7 ]1 F9 n' Bmodule sync_tb;7 G* b7 r K( e6 R2 C Z& V
; P0 S& U, k9 V: e& N3 w, v3 P
reg clk_a;
! w5 Z' s' h# j E! ?/ U" Sreg rst_a;
2 L; H4 B2 S! m& x+ u. E- K) vreg enable_a;7 y& b% }% @' V' ]4 X" e
1 }, r' A: d" i0 D
reg clk_b;
x) J* H# n& T2 C& u+ Ireg rst_b;
( K6 z0 k. i/ s. {wire enable_b;
; l% {3 Q$ g: \
+ z+ \5 i3 M. r( C" i1 L
4 E$ a; S% F. y: Uparameter CLK_A_PERIOD = 30;9 o% b/ b; a8 B
parameter CLK_B_PERIOD = 10;7 _( Y( I; {0 ?; ^, R8 x1 A* W+ ]
f9 d$ s3 m( y5 y//gen clk_a
- g) b% ]: \( malways #(CLK_A_PERIOD/2) clk_a = ~clk_a;
7 [4 B! Y8 V5 s; `; p0 {) U7 E$ T1 Q }6 K
//gen clk_b
7 V7 K& ]( T8 e malways #(CLK_B_PERIOD/2) clk_b = ~clk_b;
' ], b& t4 w* q8 `/ } [. h+ b' C* h3 F4 |( b
- z" i' ~) L+ ]8 \9 x//gen clk_a domain test pattern
3 p* H/ k: a2 V" F$ u3 dinteger m;
/ |. J8 }8 T0 d. g
: d8 L7 e; L$ x1 z5 K: Yinitial
( g. K" d, j- V* Sbegin
/ `: p% l. u% F# Z& i //rst
+ H* w8 ~- l% t% X" P #0
# S4 G9 ^( U" x# R( G; T* I clk_a = 1'b0;
8 h# N2 U8 e W6 W3 l# o) r8 J repeat (10) @(negedge clk_a);
5 k9 @% X8 f& Y6 ~9 r. P+ f rst_a = 1'b1;
" g' L n( `; c1 @7 t repeat (10) @(negedge clk_a);+ h* Y7 [3 Y: Y
rst_a = 1'b0;
; j' p: }7 z0 ]4 u ) a; C: K; Z( \! {
//wait clk_b domain rst done
/ B4 d+ c9 r5 ] repeat (1000) @(negedge clk_a);
7 k$ N: J) |3 X' ? 7 q$ ` m3 u/ A5 f2 [+ w/ N0 F
//gen test signal1 w; H% p3 a) z
for(m=0;m<10;m=m+1)% \' a0 _; G6 |. }
begin
6 ]0 p/ }- ?% T [7 ]# y enable_a =1'b1;
6 n4 Z" T9 U' G# s* O. ?$ `0 o @(negedge clk_a);
: s4 @. M: D1 s0 h: g0 C8 G enable_a =1'b0;- ^9 G1 ^8 L: C
6 d/ A7 O; h4 @+ k$ P- k! { repeat (1) @(negedge clk_a);//modify!!!
1 M# C* @2 y3 Z9 j" ?! I- k end
' ]/ `" K2 G1 g, y% c8 I
( C4 l! F/ V6 x: z* L repeat (1000) @(negedge clk_a);
% n& c+ I+ d8 {1 `# U6 a7 k $stop;
9 g4 D1 H7 G6 s# y6 @; V
' I" z, ~7 b) f( @end
$ Q$ ?# z- W9 w R" B( m# Y
& n O, }4 B- N9 Y6 \; U3 ]initial
; I: W+ I0 s9 A, F$ _: G1 xbegin! i" i; m1 x. x- ^
//rst% ~# x/ C$ T, n8 W: I4 ?
#0
) W. p' q5 R7 X6 _ clk_b = 1'b0;
: b* R6 ~+ H/ I) C0 M$ N repeat (10) @(posedge clk_b);( r3 ]" X6 w3 k5 j- a7 L7 H1 D+ v
rst_b = 1'b1;2 ]% g) Y/ Y) m; x
repeat (10) @(posedge clk_b);
" A4 \( r% t" R, y" _6 { rst_b = 1'b0;7 O0 r0 v Z6 l8 A B
. |8 Z* T2 n9 E% a* }
end* ]: b J* E. N
# o# N W/ l; C
& x: m7 W. e. A% x- G" \' e
sync SYNC0
) @4 ]5 B0 O1 y3 i! b9 H# L(
4 r1 x! \; A S.clk_a (clk_a),1 ]. A$ [6 z2 j5 a3 `
.rst_a (rst_a),
5 d) Q2 e9 F9 h% a3 {.enable_a (enable_a),0 i( p$ R9 |2 _7 I; W& P: Y
5 ]& n9 q" k; \.clk_b (clk_b),
) l4 b4 I7 K9 l; w.rst_b (rst_b),) K3 N9 U( \) @7 U
.enable_b (enable_b)
4 ^# r+ p+ K% ^3 p$ y$ T. p);
1 B' m& i: v/ d% x, l" K' Z8 H' e* x/ r" J( f) i" D9 \9 F
endmodule0 f5 J4 u1 m% e$ L: ?+ Z
7 W% I: F' V9 s$ k, f/********* EOF *************/
; t: `2 A# T! c6 C! y; w
- {; ` B3 b) _* d4 Q/ ^8 m9 W) l8 b+ a
下面是修改后的前仿波形:
0 l& t. n' u6 _8 L: H0 A) f* E* X3 q
从中可以看出,即使慢时钟域每隔一个周期产生一个enable_a信号(一共10次),快时钟域也不会丢失(也检测到10次)。
! c3 W w4 A4 ? L" K& t# P; p/ t8 t/ L+ y6 k. O
$ K" ]- B6 |& L! s' x
" ~. i {" z3 R. F" X, p6 N
+ m S' Z' o2 B
# Z* Y* A* M! w; B7 r% @ n1 N) u6 |& B% q }$ n* X+ @
. |: E5 W' d7 M1 E/ n) y; F
6,小结
' ~4 Z1 H9 Y4 V: q/ L! I1 S( \2 P9 p# D本小节我们对采用两级触发器实现双时钟域同步的问题进行了简单分析,其实除了快慢时钟的问题,还有亚稳态的问题,由于是前仿,没有延迟信息,所以看不到亚稳态情况。
! ^1 c" O' x% ]1 n. O/ R( N* |
+ k. ^! J% E3 v+ Q4 ~( i. `0 J! t |
B. D/ O& ~4 H/ E1 s+ F- T
" a5 e9 e5 F0 P4 K$ w- T& ^
" k3 k: M; f9 J' j' q# c$ E) T
7 N, \7 A$ _# G- N6 n. j: M m6 K/ W% Z3 \& v6 }
|
|