|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
引言
/ ?7 W# k# m6 Q' g实现双时钟域数据的交换,最常见的方法就是采用双时钟的异步fifo。但是对于单根信号线,如果仍然采用异步fifo就显得非常stupid,这时,往往通过两级触发器来实现同步。
' x6 {6 Y' e/ R# K/ }+ ]+ k& w* d2 ^' N2 ^6 m; ]6 B
那么,两级触发器是如何实现同步的呢?本小节就做一个简单的分析和验证。
1 n, Z* H) U: [6 O, o) _
- [6 Y7 ^& T. s1 K7 X& p. ~! E+ L1 U
1,一段代码
- u( ?+ Y+ @" P" e8 L6 H
) {2 S# b3 t" s/ Q8 [下面是我刚刚从ORPSoC的工程里看到的一段代码:
, }1 V, g% {% s: E0 J+ D+ v4 T7 f, _2 t) e, L% l
5 s% S: M6 l* Z" C
//'ddr2_writeback_done':generate in ddr2_if_clk domain/ L1 N) V0 A1 S" M
always @(negedge ddr2_if_clk)4 a) o* x$ B' C; g) {! a7 }* B
if (ddr2_rst)/ q0 v) x, Q, W$ x9 M
ddr2_writeback_done <= 0;2 E- o8 z$ h- w, ~2 X6 K
else if (ddr2_writeback_done_wb_sync2)
% N* L, y E) W- P ddr2_writeback_done <= 0;9 |" q9 _9 t- R+ y H
else if (ddr2_write_state_shr[6])# j5 v2 g0 I. x- u) `! q( t) |
ddr2_writeback_done <= 1;+ m# x, B) t! x& x
) l r8 T! c G5 q$ u+ S& @//'ddr2_writeback_done' sync to 'wb_writeback_done' in wb_clk domain
& Q4 W. e) {( w+ D4 G5 _$ A% ialways @(posedge wb_clk)
1 X9 q. ~8 {; E, X+ O if (wb_rst)
* D8 H! z0 O" f4 g' z* z" D begin
, m. O2 x0 t/ b$ F2 X7 d wb_writeback_done_sync <= 0;% V/ U# h8 J& N# T# A
wb_writeback_done_sync2 <= 0;
0 g t3 f1 F( _" ~ end 9 T9 F& m! O$ |5 S3 S9 P/ ~
else
7 y- U. W3 P( y( b8 i) }0 q5 T begin1 G! Z" q* y6 _: ~
wb_writeback_done_sync <= ddr2_writeback_done;
$ F" {( c/ @% k4 n$ q1 z5 @0 [ wb_writeback_done_sync2 <= wb_writeback_done_sync;
8 A7 a; w5 ]1 d* o, R end
b7 U' u3 v6 Y5 m- P% o# v. V: l8 [) H
assign wb_writeback_done = !wb_writeback_done_sync2 & wb_writeback_done_sync; ]/ `, n# _* J' P
; ?" Q2 t6 }; W
3 X: N# r: X7 V" B) f" V//use 'wb_writeback_done' in wb_clk domain
; Q9 f3 U/ I" m3 I6 Lalways @(posedge wb_clk)
) M. X8 q( Y j/ F! G# c if (wb_rst)
0 N5 ^0 m% U A do_writeback <= 0;
1 P* ?' R; J% @8 S$ F+ ^6 k' D, I7 A# J else if (wb_writeback_done)
4 g- v! y; J$ {: S/ e2 K do_writeback <= 0;8 G2 G2 I5 z: w6 D/ j6 G
else if (start_writeback)$ M* n: @( V( t
do_writeback <= 1;% a- D% l& t/ ]/ `( Z
2 Y0 s" b% [: s5 d) A' N
+ M7 z( s: K0 a+ `' t* R4 b
0 [/ {5 b7 {2 M5 T5 @' t1 |, a
: N' P Z w5 W* u" r8 I& b2 \9 p2 n: f* u4 u
这段代码就可以实现两个时钟域(wb_clk和ddr2_if_clk)间ddr2_writeback_done信号的同步。( B) I6 E C/ s$ k; d; @
; b @3 s4 i2 M$ s6 @3 f: {/ A: N7 i1 J; O
1 j8 E) O b+ s' k' w& X
2,编写test case. S( X* i% ~/ W- n
为了更清晰的展示其具体的同步过程,我写了一个简单的test case。9 U% ?: x' c1 F) }+ x
. b" c* S- S( Xa,可综合的sync.v:
( E, b* ?* ?: B- d* x
$ |3 ?% u) e! L3 L) ?
0 Q$ o) M4 n, U8 ~- a7 C! k: H; O, L; ]
/*
# M# |" ~; u6 k2 [* file name :sync.v
6 ^2 E4 e: ]) q: ]$ y8 u# z/ g* author :Rill
8 D' d, C" W& _* date :2014-04-12; M4 r2 ?: o) O/ O0 X7 A- l
*/4 m: y6 H* u1 a0 W# }
' g7 v1 c T8 S
& O1 m5 @4 [. \5 B3 [ K+ t
module sync, D; I' D7 v* a' @2 v! Z9 {; Y9 L
(
1 M' C1 K% \9 @1 Winput clk_a,4 Q& N# Q: t& |1 d4 E) @
input rst_a,4 T7 }* {6 [7 u( M9 |- w6 s5 I3 T7 u
input enable_a,0 g" s3 m: e% V2 t2 c# I
& l4 o; X! X/ t( g A& ^input clk_b,
0 K0 ]- j. a: w* `- Binput rst_b,
1 b( W w; s' i4 L% eoutput enable_b- D( w# _( X; O& w0 g( J
);
; e( |; e0 K" _4 C; f7 V2 V
. k0 B$ M) S4 w( ?reg signal_a;* C& I) T5 T/ z* i7 C J5 d) F
8 b; `/ {# ^8 y: `$ i, F- qreg sync1;
4 P6 ]6 n6 f2 ^8 p2 _reg sync2;% I7 c) G6 q) Y: ]; b. x V
2 ^% `# r& W7 r) m+ X" M2 Dwire signal_b;$ V( o) J8 ]$ Q' p& y5 `7 O' t. j
reg enable;
- y& L' i ~% u$ n! i% ^% k7 g' U6 ~3 u- M6 l: I/ F
assign enable_b = enable;
7 k3 ?( K! K2 N. L) ?% | c( v0 }% N, W9 i$ W* ~4 a0 n/ Q3 m
//'signal_a':generated in clk_a domain
. b. |0 K% N" v# E. I- ~8 Ealways @(negedge clk_a)
" {# G K# V% t" L+ u, k' E/ X( Cbegin
$ K0 k6 z5 m: _" k if (rst_a)
# f1 k& Y/ w8 ^ signal_a <= 0; w% Q! z9 ?5 `1 y ~# |9 [
else if (sync2)
# a/ p% |/ |. |9 y signal_a <= 0;2 i/ X) X* }% S! [+ f, b* W
else if (enable_a)
- Y x) c7 C( ?. A signal_a <= 1;6 K6 O, X! s2 o- t; i0 E. ]
end% Q. ?& T, `! N5 K4 A* w. ^
//'signal_a' sync to 'signal_b' in wb_clk domain
+ A8 Z( x2 h7 ]" yalways @(posedge clk_b)
- f: W- R$ h$ _/ |# fbegin
( a* {8 B I5 x9 x) n; q9 ^ V if (rst_b)
7 E. q/ E7 z( _: U% c; N7 r begin
7 ^. Y3 c6 E8 t) b# l: R sync1 <= 0;- V2 X0 w& @- p7 W
sync2 <= 0;. X( J8 Z3 _' l% ]0 z- q5 v
end % J; Z( X& O: v1 @- r: E
else4 Z4 a) ~( p7 `: b$ l: p2 ?7 G
begin+ {. M: m3 h3 q' h
sync1 <= signal_a;
6 W& l" a H9 Z" ` sync2 <= sync1;' X: T+ \: Y7 X t* k: P, q, X
end* a* m! k% l4 i- K2 L) J7 }% l
end
_ f1 x! l* F8 q3 O: H. s$ m
4 \. D8 e( J& g- U/ u* h) b9 y( hassign signal_b = !sync2 & sync1;5 r" H# V5 ]4 C& `
# ~3 `: a/ I& z: \, N) C
; E+ t7 ?2 D- Q3 U. A8 J# f- ~//use 'signal_b' in wb_clk domain6 `( g: C/ t; k. h. I
always @(posedge clk_b)
7 K* J3 N' V# X( k0 zbegin
* i2 l: Q- Y; V( D; {0 V: ~( V; K if (rst_b): n& M4 ]: E5 L8 |1 @
enable <= 1'b0;+ f6 u7 E* r+ h
else if (signal_b)
# H! O* p; J$ C* Q; ? enable <= 1'b1;
3 [8 ]# X' H7 d( n else5 r1 R7 S8 E, M! R) d+ M* j- a
enable <= 1'b0;
& l6 y- M0 A4 c k0 ^8 tend
1 e/ X6 u- r9 p
8 M' m2 P4 e# c+ I# z- ^4 l
# P6 ?. Q$ `* \4 n8 O4 Hendmodule
! ]* F% A, c5 d9 x
& g& r9 s2 H H5 r( m! N; V/********* EOF *************/! j& Z, R" `9 W4 u, [2 m3 `1 [
2 j# \# j: R5 `0 E# R
( _) @& {6 ~+ P
b,不可综合的sync_tb.v:
- N- X8 N. L1 g0 ~* A# O0 q2 Z6 F
! z& A/ f5 S! A( P4 x
2 ^7 k' W. u2 R4 b. ?/*3 M9 v; K+ j, W. H N: S7 b
* file name :sync_tb.v8 V- q% l3 f/ J
* author :Rill4 u3 k0 ^( ^" r
* date :2014-04-12
; ?$ _6 u! y# f" k# E/ [*/) z+ F; ^ Z4 \- |7 _
3 n1 a! ^/ w' w i( f" V`timescale 1ns/1ns$ S$ \1 D+ X% V( B8 j6 ?
5 ?. z7 H3 y0 J; P G
2 f, t4 I. Q* N @4 W( Dmodule sync_tb;4 i! z: ~. k, V% f$ V7 m( D; T
, c5 Y0 U# q+ J
reg clk_a;, V, X) F% {4 V: J
reg rst_a;1 s) [6 S2 K& Z Q1 x5 j
reg enable_a;" D3 u1 t$ d4 u# K# C: J% q
5 O7 s+ H% ]1 M* W1 `2 g* jreg clk_b;+ y6 g0 J0 H$ X# t# L
reg rst_b;; o- M/ j' |5 C( }: B
wire enable_b;) |) ~/ y- g* `( c# o* V
5 z* P8 {* [: r+ C; \9 ~0 u
7 k: Y& p& P: C) t, W6 @. cparameter CLK_A_PERIOD = 10;1 O2 s7 v& c) N. J; D
parameter CLK_B_PERIOD = 30;
" c* `( S+ q1 X0 U& @
7 K! y$ D# {+ Y9 Y" |' C& v//gen clk_a. h* k5 ?" m7 D: t" c
always #(CLK_A_PERIOD/2) clk_a = ~clk_a;8 w' n7 O- \' Y0 c$ H
: d2 L. E0 c, t//gen clk_b0 X. u2 \8 N) S: N+ C7 U. x ^
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;
( O7 h8 @/ C- L- |
+ n$ m% ^( K9 G, ~( V- {: b6 D7 y( I2 h! Z, ?% s4 W, T4 t
//gen clk_a domain test pattern* M) N, L2 `" c) L* t
integer m;
! J% t1 a8 k8 G5 j- X% q: o; b" y9 \, g+ @! L* f
initial
# }( G$ |/ t4 {begin& l2 O9 t5 O% b9 s0 I8 [7 s
//rst* @- J, C/ G( x# q% Q8 [
#08 V+ e$ M0 U; e* T
clk_a = 1'b0;+ [' D* @$ v1 d. T
repeat (10) @(negedge clk_a);1 i x; W( K- G, U* E
rst_a = 1'b1;. F+ \+ G% C" P
repeat (10) @(negedge clk_a);
4 I$ Z1 v$ O. W2 i$ S+ G( I rst_a = 1'b0;! f( ^' _' m- F% L: P
9 F5 \$ M. f/ [; E$ U //wait clk_b domain rst done, t7 {* s, r% l3 B( j
repeat (1000) @(negedge clk_a);
+ M6 u6 s0 R% F3 p
/ h5 P2 ^* F4 t2 H( J2 o //gen test signal( P1 a5 w2 f' R/ w9 e+ L
for(m=0;m<10;m=m+1)
4 u9 O+ b7 k2 \+ ]1 I begin6 P2 ?& ]7 |- U
enable_a =1'b1;
3 A- B Y' N4 p. L @(negedge clk_a);
3 o1 r8 @ v. O7 u: H enable_a =1'b0;3 w' p) v0 f; i9 A' z2 J
* W0 h6 q9 S, M% e9 c repeat (100) @(negedge clk_a);
7 K4 K$ n1 R/ _) Z" U end
, c: x. ~# n7 p# i
9 `% I' ]5 Z5 X* G. L9 H repeat (1000) @(negedge clk_a);
* Z( r/ l. b; S3 M& s& v0 v8 j $stop;
- y/ u+ E6 ^( P2 H! i 9 [. ^* s0 _2 _# S# k# {& ?
end" h; y8 }4 j1 I5 m$ G0 t6 ^, g( K+ W
3 `9 B$ U( A8 i* k; V, C4 ^
initial1 L* U _# _1 H4 h. u K* b
begin% R# k) d" j( L, \( c9 p
//rst; T# h1 }. ~2 E @7 B5 q( t
#0# D6 {1 j3 [) H" H
clk_b = 1'b0;; O% `# n% x' W4 D+ y
repeat (10) @(posedge clk_b);" C% [/ d! f# G
rst_b = 1'b1;
! l8 m& i& p$ G8 I2 Y' S, c( ?/ D repeat (10) @(posedge clk_b);
5 d) E i9 U/ Z/ h* c rst_b = 1'b0;) `7 x1 x% E1 ~$ P' U- H1 p
6 \3 C6 ]' ~: k2 Z# b8 yend& d9 {7 n5 v4 s( z0 M0 o
* v3 d9 [1 J* \( N2 X; y
/ Q; o" W# p/ j) B, c; L# Lsync SYNC0
" q4 ^5 q- Y* S x( f(
, P; B* g0 u9 e, w9 ~.clk_a (clk_a),0 y) A% G9 S0 u. }+ ^; n
.rst_a (rst_a),
8 I' ]' i3 @4 d, p1 L: J8 {# A.enable_a (enable_a),7 H# _7 C4 u1 g2 i) t* B
$ d3 N" x/ N" k# F+ J.clk_b (clk_b),2 d- h- }' W6 D& {7 ]7 W/ \6 V
.rst_b (rst_b),3 _! I/ X, B% S4 u( T
.enable_b (enable_b)
4 h. o* ~' N: O U);) b5 j1 O0 v$ j
0 \, n& l* ?8 l8 n" Y! W! E" E! Hendmodule
9 p7 o. m8 {9 y0 @4 v. t C- B: I1 k
/********* EOF *************/, S% L% W. [: ?: `7 _0 ]& U3 g
! _1 [ A( j% E. B6 r: |; F; l
# E" u* E, d0 Q" H4 ?/ p, u" ^# X" y, n7 Y
. }# B* V+ G9 j. ?! m/ q3,前仿验证A2 \8 E7 Z$ t) X* {
下面是前仿的波形:& E: Y$ ?) ]7 l9 K6 Y) _9 }" w. b
; X2 V/ b5 `' b: a/ u
6 x' t" o2 H+ [4 q' p$ B( x* V/ `
6 k8 |- s) r5 F8 u: R& S/ }/ R9 n& T! K9 p, f5 v
从中可以看出:4 i# T- C+ c0 P
4 C; @8 d* H, O% }$ {3 d
a,clk_a是下降沿触发,clk_b是上升沿触发。- A/ b2 n6 N# S7 s
R0 t O7 N8 C& `& P4 E: E. i& s
b,先看m信号上面的波形:
' \7 n3 d% D4 ~+ ` p% @! }1 Y/ F0 S! b) S+ D
clk_a时钟域产生了一个enable_a信号,这个信号被clk_b时钟域detect到了(enable_b信号)。
/ |0 N+ F" s4 p( O* ~. ~* q$ ?$ o& m! t: x7 ^3 K9 l
enable_a信号是clk_a时钟域下降沿同步的。enable_b信号是clk_b时钟域上升沿同步的。
: A" [8 Z e h9 y
; D. y* E; |! ]( e$ P4 o+ |那么具体是如何实现同步的呢?我们再看m信号下面的波形。8 [! J5 O: D/ d2 D+ Z1 Y& y$ C
( e/ H7 z+ C( G, {2 E) Rc,首先clk_a时钟域下降沿产生一个周期enable_a信号。
1 d' U; k1 v' R: ?/ B
5 N: f5 V4 P8 Z# B. ~5 g4 @d,这个信号寄存到和他同一时钟域的signal_a。4 Y2 `6 T2 Y. V
9 c) u! L1 r1 y$ R3 @9 ?; |, ae,异步的,clk_b时钟域上升沿采集到signal_a并将之寄存到本时钟域的sync1。8 z- I( l. d: \ G! d9 v
* y9 E' Q3 r' d4 K) y- {
f,在clk_b时钟域,经过一个cycle,将sync1传给sync2。这时,即可采集到signal_b信号,而这个signal_b信号就是在clk_b时钟域的。
p& `: I( v5 W: F' J0 J$ s1 f1 L5 Z Q
g,异步的,在clk_a时钟域,在检测到sync2有效以后的第一个下降沿,将寄存enable_a信号的signal_a清除。6 C- v3 R2 t) h, R1 J7 ]/ R
# U7 S/ T/ g3 y R+ |# |# t0 uh,整个同步过程用了6个clk_a周期,2个clk_b周期。
$ U+ D5 r4 y* U* K
2 _' ?: z# R9 D$ J
& f7 M) U& p# P
' | m" l% F2 k4,前仿验证B
- L; V$ D' H% G从上面的分析,我们可以看出,采用两级触发器确实可以实现双时钟域信号的同步,但是这种方式也不是随便任何时候都能使用的,如果是慢时钟域同步快时钟域的信号,则要求快时钟域的信号产生的不能过快,否则将会丢失部分信号。
3 V4 Z* v& e7 B1 J' f$ l* B9 K6 r. F$ d9 K; U( w) K$ G
还是上面的sync.v,我们修改sync_tb.v中enable_a产生之后等待的时间,就会发现有丢失的情况发生。
2 }# S3 P0 Y) k2 _
! I7 f4 U' n8 m5 \) b" r* K5 g ^0 g修改后的sync_tb.v:8 g, I! d& h2 T; c- }3 k* f" W. ^
6 c( e% c8 _5 Y8 e# r3 I. ^
" i: k: A+ }8 c8 u' Y: t, ?
7 G! e& W5 w, R# o! r( Y0 d2 d' v8 }/*: H# K0 m' X. o8 Q9 k
* file name :sync_tb.v) k2 T1 {% a! \
* author :Rill& k& B; Z: B$ I+ x) }
* date :2014-04-12: O/ ^0 L+ o. w% R5 Q/ n+ ?6 i
*/4 v$ e x3 [& e, F! u( h
* u. x- M* S1 n4 }+ H`timescale 1ns/1ns0 k+ \- @' W! X, O
3 k0 E# {( S3 j' |$ G
3 [5 z7 \: X0 C, C: lmodule sync_tb;$ K2 W. }% E A% P
$ q& o$ \: u4 U4 `# `
reg clk_a;" r7 C% E2 x5 M U) O t
reg rst_a;4 @* ^$ ]% o% p {- ]& u
reg enable_a;* ~. r: K1 n' W% O2 i: [1 B( ]
( N" {6 Z, r4 Q1 n
reg clk_b;
/ r l: l5 \# e9 Q& x! Zreg rst_b;* w1 R3 K2 }2 l1 V- I* A
wire enable_b;
4 u X0 D8 T5 P# P; z6 T2 c1 l1 x& o6 u
* K8 Q& T* B) y: c* Q6 C; r
8 y/ }; \' T. }$ Y, r# d) Sparameter CLK_A_PERIOD = 10;
3 O( U$ `+ S( U/ Mparameter CLK_B_PERIOD = 30;; q8 B1 x! ^2 n, C
* |0 B/ ]4 y0 ~' `0 ?; }//gen clk_a7 W3 q: t, ^7 G# s- ~) l) i
always #(CLK_A_PERIOD/2) clk_a = ~clk_a;
9 j. A% K- P* I. j( G/ j
9 Q5 d, S, }/ W+ o//gen clk_b
! V" i$ h+ W+ ~always #(CLK_B_PERIOD/2) clk_b = ~clk_b;. ^& E5 G% b( \: h" s( q
% d& d; A/ z" ~& p! W. u' {" R- W; J, h4 S/ q* T4 C
//gen clk_a domain test pattern" Y6 T' |" X# h- c3 p E, }
integer m;
6 f: m& @/ n! v, O
* ^: y( F3 V7 q$ S; Finitial
& n0 s' @, q8 K% ~begin) V E# X7 o. H3 y! G; x) }
//rst! k4 o3 [5 N1 ?. |' f; z) o, z; l
#0. H. @2 s# T, x; K& C' Q% _
clk_a = 1'b0;# g& ]( {* O& S; O1 Z: D
repeat (10) @(negedge clk_a);
) N; \- _% L% X2 t/ M0 e/ r rst_a = 1'b1;
9 V3 C# ^% s+ N repeat (10) @(negedge clk_a);
* D1 |$ l# A+ h! g* q rst_a = 1'b0;
& @% W* a, ?( L& B# {* S! }; n# }3 \
" h* `) Y0 g! [+ ^ //wait clk_b domain rst done- t5 O2 \6 V9 ^: {+ T
repeat (1000) @(negedge clk_a);
/ }* w: W* s0 d; T5 h
6 i5 I$ u7 I( \" A1 q6 o //gen test signal
0 g0 ?* \6 r) i- L for(m=0;m<10;m=m+1)
: ?5 E! D# o$ g. B! ?9 C begin
6 D) q. G' i9 W3 x enable_a =1'b1;
' ?7 E6 U5 r0 r @(negedge clk_a);. T7 R, \4 C3 S! Y
enable_a =1'b0;
* W" m3 S5 n- J
. W( M0 @5 b3 D l; U; A6 L Y repeat (3) @(negedge clk_a);//modify!!! P0 M4 g! o7 F3 c/ Y6 f
end
. @0 d6 f# [2 H! B( T0 _5 }( _
; K5 S: i8 l, D& V7 z repeat (1000) @(negedge clk_a);; d5 H8 h& n$ X y \% G0 q- t
$stop;5 v6 ~* q0 ?1 z4 a* Q3 x3 R
4 W, A+ x; Q1 \* L5 o$ T/ h+ t! i
end
. a; G3 }9 I7 P* F0 A
/ W6 b+ [, C! Q- dinitial
. Z4 k) n+ g' r) C8 J# t: T' B0 n& Obegin
" V% j" g5 g7 P' y+ f! i //rst
2 ?& A' w* F9 L& T/ h #0 Z1 g: x: {- Z. c
clk_b = 1'b0;
- x: j ]. |, Y# p repeat (10) @(posedge clk_b);% P3 \! k/ O9 `4 A( x8 H. A2 P
rst_b = 1'b1;# `; ?2 {$ q5 r8 ^) o7 h7 I
repeat (10) @(posedge clk_b);
7 }9 @% q! W" _& l& ~& C" u! s rst_b = 1'b0;
3 O n- }. i6 K + t0 G G; B1 E i0 T) ^
end' o* x4 x8 |" p5 q* \; ~5 t
" H' e# p! z+ K: K+ n
6 O8 P" d" v% B9 h+ ]5 x* W, Nsync SYNC0
6 Q' a. N* @6 T" V, j(
; l7 j$ z% a& q; e5 ~, N' \1 e.clk_a (clk_a),5 K8 k" N, X8 V( a
.rst_a (rst_a),
" F9 D% @5 \: U+ Y& z1 }.enable_a (enable_a),
% g3 B0 n2 w5 S+ e
3 g2 U2 {; W3 r8 d. z.clk_b (clk_b),' z5 m- `& f% f& K2 }2 e
.rst_b (rst_b),
. k! @* F y U( q9 i& g) W$ ^" Q" k.enable_b (enable_b)
2 {, C3 ]" R) y. {: x3 a);* O+ c7 [# m7 w q. ]9 B: J" | ^5 x
f, P. ?) x) Y& cendmodule6 T$ R: f, k5 P
y8 H0 r$ y1 x1 ]' G$ N7 c
/********* EOF *************/
, d5 d" ]+ f4 v1 J' Z# p. D: a) r) [
下面是修改后的前仿波形,如下图所示:
8 ?9 c9 t) [! E4 C7 {从中可以看出,clk_a时钟域一共产生了10次enab_a,但是clk_b时钟域只detect到了4次。' j. n; C# }$ ?
6 Q9 G! ?/ I! G! W: J
! s+ v9 `8 x3 w% f9 J# C3 U. C X, i5 l- @% ?" E# O6 [/ c: x {* N
$ q4 a3 ]5 x" O+ x% b
" o/ T1 U( r5 D3 W( Z5,前仿验证C
, `% D) P U: ~7 x: I% G上面是从快时钟同步到慢时钟,如果快时钟域的信号产生频率太高的话,就会造成丢失。: c/ J- H, i" ^2 W* r4 u
}) R: @- d, d/ E1 O$ g! Q: B那么如果是从慢时钟同步到快时钟呢?
: T* b0 j3 A6 `8 w
a" s2 I8 U# p& U$ p$ o将clk_a和clk_b的时钟周期互换,每隔一个clk_a就产生一个enable_a信号,结果如何呢?
/ N- `& |, v& V+ u8 F0 g% p( o+ P9 b6 e7 O( e
修改后的sync_tb.v:1 n( Z) K3 y* J, T0 l! {
: a6 Y; |/ q0 ]/ z, m* ~
' D4 Z% T: O! J8 @5 ]! c. R# j0 T4 b2 L! ^; t1 p
/*
$ j% J5 w/ U/ e! E: M7 P* file name :sync_tb.v, B0 I0 M. c$ @2 E t' i# J. ?
* author :Rill
- d1 B* c3 Q3 b6 p% t8 X L) X* date :2014-04-12
! t6 y J4 z4 u1 t, H# D9 @1 c$ E) ?*/
0 @' M: c, ~+ ^$ O: U4 r B; I: u# G+ g* h
`timescale 1ns/1ns5 E/ e' |' V2 B w7 [1 a& w6 a
. R9 Y8 t' U# ]" M" k4 |# s) _- [7 w& j' t6 z$ P5 y
module sync_tb;
. B$ [5 [) h" |6 c9 r( v
; d- p- D' b5 L- oreg clk_a;
) N- [% d0 [, J, j) P$ ~' }reg rst_a;
( @9 z% q3 f- ?reg enable_a;
. N0 ^) B9 H9 P0 @. c( C: k- G
( F# [1 d6 K) J' n$ G Nreg clk_b;4 z" U# C. Q& x) O
reg rst_b;
( f) c( w+ C: S( _; V8 h' [& vwire enable_b;
' L, B1 d) ~& N; I0 G: X4 H7 L! t3 T- K. h$ K9 b3 g
* b+ {) C$ O- G- r, R" K
parameter CLK_A_PERIOD = 30;
3 k- ]4 |6 ]* m) Y/ D7 `9 jparameter CLK_B_PERIOD = 10;/ u5 h7 |: y; E, X3 X
- j0 X6 C' C# h/ j1 b; @$ x, {0 I
//gen clk_a
" W) G* z0 W, u7 ~/ k$ ~always #(CLK_A_PERIOD/2) clk_a = ~clk_a;+ Y6 K) I' N! q! p! x) R/ J
2 [) O0 _& `1 s+ o% O% K//gen clk_b# n* S! g( t+ C' t2 {0 n
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;
9 U/ E% t, }; {: V2 x1 p
6 D; S. C, V/ a
0 S2 b) F5 w/ U- a+ n//gen clk_a domain test pattern3 X" S# b- |1 Z& m
integer m;3 G* G1 Q" n- L# L
7 l% g5 u, [1 t' T# f1 K( V0 iinitial
1 y3 W9 V* [0 Q+ V! Wbegin/ [( \! D5 u; Q5 o2 ~
//rst. y% ]0 `! y: U) s: o" T5 }
#08 M3 ^5 l% @5 W8 o& [# G
clk_a = 1'b0;+ B ~1 S* p6 X
repeat (10) @(negedge clk_a);' I% p3 @9 y; A5 V3 _5 K; O7 f4 F
rst_a = 1'b1;
x6 {( ?/ `9 k repeat (10) @(negedge clk_a);
# |7 H$ s0 z2 t6 A" A' V rst_a = 1'b0;6 f" b9 ?4 e; x0 t: f# k( t4 C$ T
' S( l: a* L$ R. `/ s( O9 _- X //wait clk_b domain rst done
) r) P: u; X+ [6 X repeat (1000) @(negedge clk_a);
8 Q% G0 l& k( y- W1 d) O # C; p3 A# j1 o
//gen test signal, K3 z: C! K: }5 Y) Y/ G
for(m=0;m<10;m=m+1)
$ Q7 r7 h& w- b. g& V' |/ | begin C7 l! `: o; o3 u
enable_a =1'b1;# z. w. V. \4 X3 T5 R4 ~3 @8 X
@(negedge clk_a);
# C& Z) B' I6 Y8 A3 k5 U& y; Q1 y enable_a =1'b0;4 d' P4 h4 N- q& |* E
, j% H$ b7 @, X+ z' d* \ repeat (1) @(negedge clk_a);//modify!!!# D3 b1 u; p5 R8 P( _9 H+ s
end
; h% H" U* |" v u
3 ]! t/ I" U. i8 c8 j' E1 E) }5 X repeat (1000) @(negedge clk_a);
: p3 ` W0 u! w0 r3 s9 D5 z5 s $stop;
4 g! D C2 n! l
+ O: ]0 a* A, b8 J9 g |. Z9 J' e- ^end
/ y3 P. I: Q, l; M& C8 h: w2 b; h+ L0 J3 Q$ z9 {5 e
initial+ e' J1 s/ B/ b7 z/ v
begin
8 P8 T/ P+ Q' [2 u x //rst5 G5 R- e% |5 ], a5 b! q* O
#0. H% h* ` k2 i {
clk_b = 1'b0;6 q n$ F8 f: A7 Z. Z& Y, l
repeat (10) @(posedge clk_b);
5 } V2 c, }2 |3 a) K rst_b = 1'b1;; F3 O, t) r0 M; O2 K; T7 ?) k
repeat (10) @(posedge clk_b);* s& ?. l0 F2 ?. j. y7 y8 K
rst_b = 1'b0;
# @/ n0 ?7 f2 F/ M9 O2 z
/ e' ~: U% P4 dend4 l% P4 P( t- n; P
2 Q0 S- r* V# M
) x. m% |+ y" s
sync SYNC0
; A ~; S, B. q5 D9 E(
& n; h( r) U" \, r; |! G* |.clk_a (clk_a),
* ], F5 h0 E- A; |% r.rst_a (rst_a),1 Q& p: C8 g7 p
.enable_a (enable_a),2 Y+ B, g! j# ?" ?; @$ |
. l# Q( {- j" l4 x- s# O y3 c
.clk_b (clk_b),% W: ]* f* M7 X
.rst_b (rst_b),
; B$ O5 h- Y; s) i.enable_b (enable_b)0 O' u H+ J# o# ]
);
$ g; d# P+ D( J5 d s% k/ ]3 g) W) y4 x. V* O, }* ~% G
endmodule7 G8 ?3 Z% [& u( E
/ U. d- v' \2 I/ d4 M" [' g/********* EOF *************/( Z N% P% K. D7 d9 K$ V
9 \* f/ g4 L9 S/ C w! z
0 ^9 u% F; E0 @% m
下面是修改后的前仿波形:9 t- y3 j2 d' o7 d8 Q5 o5 I4 X
! Q( [( z+ G8 v- `3 g
从中可以看出,即使慢时钟域每隔一个周期产生一个enable_a信号(一共10次),快时钟域也不会丢失(也检测到10次)。- _2 X T$ _5 ~- @
/ b: ?+ C+ X3 v
8 g; P- Z f$ U$ n( ], l4 M. @/ }5 ~
$ T9 B/ ]$ a3 v! K9 F' C+ [' I
% I2 n8 W8 Y; L5 n5 v, z) ^; w+ N0 ?0 w9 M( |
' g' G9 ~( F1 A( q1 K- A
* {! _! L+ F2 V7 l6,小结
* R, j. ?6 _. d8 k8 E本小节我们对采用两级触发器实现双时钟域同步的问题进行了简单分析,其实除了快慢时钟的问题,还有亚稳态的问题,由于是前仿,没有延迟信息,所以看不到亚稳态情况。4 S9 v N/ e, p* s) h, S
, @9 g% {7 w, i. b
) }: t, h: R8 J+ v6 n
8 [1 _4 V4 L) }7 R0 ^
. g2 \$ t/ P5 d' C- O7 t v
: G/ }! O7 k4 c2 n- N
' J! j2 v: B7 j0 ?1 B* @+ r
* }* l: k1 L, S( W0 O: G3 I |
|