找回密码
 注册
关于网站域名变更的通知
查看: 351|回复: 1
打印 上一主题 下一主题

VHDL引用库

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2019-3-12 10:15 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
VHDL引用库library IEEE;    //表示打开IEEE库,因为IEEE库不属于VHDL的标准库,所以使用库的内容要先声明
1 ]" A  E' a8 O. V; huse ieee.numeric_std.all;   //USE和ALL是关键词,表示允许使用IEEE库中numeric_std程序包中的所有内容,这个程序包主要是用来做数据类型转换
# s, V5 Z( c6 cuse ieee.std_logic_unsigned.all;  
+ g  |) @8 [6 kuse ieee.std_logic_misc.all;& W# ?2 R% u% M' v# K. o
use ieee.std_logic_1164.all;
9 R& s% L5 R  k: I4 [+ h) i# B7 Ulibrary UNISIM;   //UNISIM是xilinx的库函数,为了仿真使用。Using this declaration, the simulator references the functional models for all device primitives. In addition to this declaration, you must compile the library and map the library to the simulator.
3 c3 A4 o  G3 x3 h) quse UNISIM.VCOMPONENTS.ALL;- P5 _. D1 m5 w* V" u4 ^# E

! U7 ]0 Z8 R! R! V
! \* v0 O0 ?+ ]/ @$ x9 m" _: S# ?
/ ?) s7 A4 y  l6 E1 ?

该用户从未签到

2#
发表于 2019-3-12 10:55 | 只看该作者
刚好最近在找这个 这个好
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-7-28 21:45 , Processed in 0.125000 second(s), 23 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表