|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
VHDL引用库library IEEE; //表示打开IEEE库,因为IEEE库不属于VHDL的标准库,所以使用库的内容要先声明! P; S& B, E% S! U6 v4 \8 X
use ieee.numeric_std.all; //USE和ALL是关键词,表示允许使用IEEE库中numeric_std程序包中的所有内容,这个程序包主要是用来做数据类型转换7 ?/ u# t7 u. m5 j" H0 X U, v6 r
use ieee.std_logic_unsigned.all;
3 @0 Q' A: S+ S, Uuse ieee.std_logic_misc.all;: G; E# K; u1 w% Z
use ieee.std_logic_1164.all;7 \8 Q2 e0 a& D
library UNISIM; //UNISIM是xilinx的库函数,为了仿真使用。Using this declaration, the simulator references the functional models for all device primitives. In addition to this declaration, you must compile the library and map the library to the simulator.
/ I2 T9 P, J" v6 U8 F0 a, euse UNISIM.VCOMPONENTS.ALL;' ^) |' B" c5 A3 w
# w; V3 `3 Q1 B1 @- r) A9 [
0 ?% ~( B' `. b& S3 b2 N% z# Y& P9 w. H0 T" D* V
|
|