|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
library ieee;
$ i {$ `/ }! O: u. u6 W n8 k- }use ieee.std_logic_1164.all;/ J. r8 D5 m( f; O W b
entity d_top is: k) T2 w& F" ~4 G9 x# u
port(d,lcr,lck: in std_logic;
0 _5 Q7 v1 D F W8 l" k3 Jq: out std_logic);
`2 f( R. e1 d$ ^9 Xend d_top;
8 ~3 v4 V9 e4 p/ B% U& [architecture dd_top of d_top is
9 q+ C& F% W! L1 b7 _) {2 c% D* d& Pbegin
2 P, W3 E% o! E; f process(lcr,lck)
; G9 u5 Z4 j f begin$ B+ `3 r6 I6 u B& h: f
if (lcr='0') then$ u& O( G, C; ~ P' I. ?
q<='0';
* |0 `0 a- M: m. [) `& _ elseif (lck'event and lck='1') then
Q5 D b4 N" ]6 P q<=d;
$ {. a2 L) S. I end if;/ S: c5 U/ t5 d$ f% |8 r
end process;
( g8 M& x' O; p: y: ^' R) Hend dd_top;
& H3 B* J3 Y0 { X, i4 g |
|