找回密码
 注册
关于网站域名变更的通知
查看: 340|回复: 3
打印 上一主题 下一主题

linux学习之路_添加自己的master (DMA-like) ipcore到ORSoC并测试

[复制链接]
  • TA的每日心情

    2019-11-20 15:22
  • 签到天数: 2 天

    [LV.1]初来乍到

    跳转到指定楼层
    1#
    发表于 2021-9-30 16:14 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式

    EDA365欢迎您登录!

    您需要 登录 才可以下载或查看,没有帐号?注册

    x

    " S! x& L  N4 J. B& E; N  J本小节中省略了一些virtualbox下的操作细节,请参考上一篇文章:linux学习之路_添加自己的slave IP core到ORSoC并测试
    4 F4 w6 v2 c$ S! G2 M6 z5 V8 n: W( C* Y. {
    ) [4 j' J, ^: d- K5 b. m
    1,控制流程
    9 u8 ~  h) I8 W0 T9 I, T1>mycore的linux下的driver写slave
    / {& X8 k9 H+ e! A0 o+ S2 e2>slave根据driver发来的指令控制master7 v+ \" b+ I, ?$ A% T& i- f
    3>master进行相应操作,并返回给slave
    5 i- u: W" _; Z. i4>mycore的linux下的driver读slave
    4 b% @! K& f$ H
    + g& X5 o1 h  G* ~2,功能概述
    # K8 j! Y+ A5 C. [$ @2.1 master写功能0 @/ E4 T2 K+ l
    1》driver向slave的num_1寄存器里写入0x112233448 i; Y0 W% h* v, e8 ^+ k$ p: \
    2》driver向slave的write_address寄存器里写入0x00000097.(这是让master 写的地址,其实就是num_1)/ X0 O1 E( [$ |, G% A& q
    3》driver向slave的num_2寄存器里写入0x03000000.(这是让master开始写)3 E0 O+ `- ]# D
    4》打印
    " V% [9 Q  @$ C% K 5》driver读slave,验证master写入的值是否正确。
    % P6 W: n+ g! R! {, t6 ~1 f: U3 i/ Y$ Z& o' C! F0 l6 r
    4 {6 ?. s9 L- T" ]4 c
    2.1.0 模块总连接图
    7 n3 T9 X9 K/ L6 \1 I8 b9 K' X$ j6 m8 c
    / {- g2 o9 |. C) O/ \# Y

    ) t; j9 e! d6 `; @, O
    $ y7 b: L$ h/ P8 `6 a2 j" }, L1 Z4 J5 K! {
    2.1.1 代码修改流程说明:跟单独包含slave的ipcore差不多8 {/ Z- `+ v7 \" S; m
    1》编写符合wishbone master接口和相应内部逻辑的ip core:mycore! K7 ~7 s3 q& s
    2》d_bus的arbiter增加master接口:master2
    . H7 a2 e% H6 K+ Q& w 3》修改master的仲裁优先级
    0 i( z( b) I* [% `& { 4》例化本ipcore
    8 g" t% x. l) K# ~- |/ x6 E 5》编写linux下的driver并测试验证4 c: p5 z, u) d4 {8 Q
    # A0 H0 e7 I6 p% H
    2.1.2 code list:mycore.v,mkg_master.v,mkg_slave.v+ y8 [7 V8 C; E# B
    1》mycore.v& s7 `0 t3 J2 I1 a, R/ X
    ; Q$ w4 q& |5 `9 w
    1 r# L8 F9 \0 Q" T
    • /*
    • *
    • * mycore.v
    • *
    • * rill create 2013-04-02
    • *
    • */
    • `include "orpsoc-defines.v"
    • module mycore
    • (
    •         //===slave inteRFace signals
    •         wb_clk,
    •         wb_rst,
    •         wb_dat_i,
    •         wb_adr_i,
    •         wb_sel_i,
    •         wb_cti_i,
    •         wb_bte_i,
    •         wb_we_i,
    •         wb_cyc_i,
    •         wb_stb_i,
    •         wb_dat_o,
    •         wb_ack_o,
    •         wb_err_o,
    •         wb_rty_o,
    •         //===master interface signals
    •         m_adr,
    •         m_din,
    •         m_dout,
    •         m_cyc,
    •         m_stb,
    •         m_sel,
    •         m_we,
    •         m_ack,
    •         m_err,
    •         m_rty,
    •         m_cti,
    •         m_bte
    • );
    • //===slave interface
    • input [31:0]                                      wb_adr_i;
    • input                                                     wb_stb_i;
    • input                                                     wb_cyc_i;
    • input [2:0]                                     wb_cti_i;
    • input [1:0]                                     wb_bte_i;
    • input                                                   wb_clk;
    • input                                                   wb_rst;
    • input [31:0]                                         wb_dat_i;
    • input [3:0]                                         wb_sel_i;
    • input                                                         wb_we_i;
    • output  [31:0]                                          wb_dat_o;
    • output                                                 wb_ack_o;
    • output                                        wb_err_o;
    • output                                                   wb_rty_o;
    • //===master interface
    • input                                m_ack;
    • input                                m_err;
    • input                                m_rty;
    • input        [31:0]                m_din;
    • output        [31:0]                m_adr;
    • output        [31:0]                m_dout;
    • output                                m_cyc;
    • output                                m_stb;
    • output        [3:0]                m_sel;
    • output                                m_we;
    • output        [2:0]                m_cti;
    • output        [1:0]                m_bte;
    • wire [31:0] address;
    • wire [2:0] flag;
    • wire [2:0] test;
    • wire [1:0] done;
    • //===slave external parameters
    • parameter addr_width = 32;
    • parameter mycore_adr = 32'h97;
    • mkg_slave mkg_slave0
    • (
    •         .address (address),
    •         .flag (flag),
    •         .test_status (test),
    •         .test_done (done),
    •         .wb_clk (wb_clk),
    •         .wb_rst (wb_rst),
    •         .wb_dat_i (wb_dat_i),
    •         .wb_adr_i (wb_adr_i),
    •         .wb_sel_i (wb_sel_i),
    •         .wb_cti_i (wb_cti_i),
    •         .wb_bte_i (wb_bte_i),
    •         .wb_we_i (wb_we_i),
    •         .wb_cyc_i (wb_cyc_i),
    •         .wb_stb_i (wb_stb_i),
    •         .wb_dat_o (wb_dat_o),
    •         .wb_ack_o (wb_ack_o),
    •         .wb_err_o (wb_err_o),
    •         .wb_rty_o (wb_rty_o)
    • );
    • mkg_master mkg_master
    • (
    •         .address (address),
    •         .flag (flag),
    •         .test_status (test),
    •         .test_done (done),
    •         .wb_clk (wb_clk),
    •         .wb_rst (wb_rst),
    •         .wb_adr_o (m_adr),
    •         .wb_dat_o (m_dout),
    •         .wb_sel_o (m_sel),
    •         .wb_we_o (m_we),
    •         .wb_cyc_o (m_cyc),
    •         .wb_stb_o (m_stb),
    •         .wb_cti_o (m_cti),
    •         .wb_bte_o (m_bte),
    •         .wb_dat_i (m_din),
    •         .wb_ack_i (m_ack),
    •         .wb_err_i (m_err),
    •         .wb_rty_i (m_rty)
    • );
    • endmodule
    • /************** EOF ****************/
      $ p; K3 m9 r/ i+ H3 s1 M4 a
                      8 J0 i3 @9 q. P7 w3 i; f, ]

    " ]! x  z* ]5 E$ `9 i7 j
    ; u4 v6 X% [( d3 a+ g 2》mkg_master.v; i; K4 k5 i8 C

      B- p- U0 X+ f
    ) Q1 V+ l0 D' l" P
    • /*
    • *
    • * mkg_master.v
    • *
    • * rill create 2013-04-02
    • *
    • */
    • module mkg_master
    • (
    •         address,
    •         flag,
    •         test_status,
    •         test_done,
    •         //wishbone interface
    •         wb_clk,
    •         wb_rst,
    •         wb_adr_o,
    •         wb_dat_o,
    •         wb_sel_o,
    •         wb_we_o,
    •         wb_cyc_o,
    •         wb_stb_o,
    •         wb_cti_o,
    •         wb_bte_o,
    •         wb_dat_i,
    •         wb_ack_i,
    •         wb_err_i,
    •         wb_rty_i
    • );
    • input [31:0]                address;
    • input [2:0]                 flag;
    • output reg [2:0] test_status;
    • output reg [1:0] test_done;
    • //wishbone interface
    • input                                wb_clk;
    • input                                wb_rst;
    • input                                wb_ack_i;
    • input                                wb_err_i;
    • input                                wb_rty_i;
    • input        [31:0]                wb_dat_i;
    • output        reg [31:0]                wb_adr_o;
    • output        reg [31:0]                wb_dat_o;
    • output        reg                 wb_cyc_o;
    • output        reg                        wb_stb_o;
    • output        reg [3:0]                wb_sel_o;
    • output        reg                         wb_we_o;
    • output        reg [2:0]                wb_cti_o;
    • output        reg [1:0]                wb_bte_o;
    • //====master status define
    • parameter m_idle = 3'b000;
    • parameter m_wait_ack_read = 3'b001;
    • parameter m_wait_ack_write = 3'b010;
    • reg [2:0] status = m_idle;
    • reg [31:0] ram_data;
    • always @(posedge wb_clk)
    • begin
    •         test_status <= status;
    • end
    • always @(posedge wb_clk)
    • begin
    •         if(wb_rst)
    •                 begin
    •                         wb_cyc_o <= 1'b0;
    •                         wb_stb_o <= 1'b0;
    •                         wb_we_o <= 1'b0;
    •                         wb_adr_o <= 32'h0;
    •                         wb_dat_o <= 32'h0;
    •                         test_done <= 2'b00;
    •                         status <= m_idle;
    •                 end
    •         else
    •                 begin
    •                         case (status)
    •                         m_idle:
    •                                 begin
    •                                         if(3'd1 == flag)//read
    •                                                 begin
    •                                                         wb_cyc_o <= 1'b1;
    •                                                         wb_stb_o <= 1'b1;
    •                                                         wb_adr_o <= address;
    •                                                         wb_we_o <= 1'b0;
    •                                                         status <= m_wait_ack_read;
    •                                                 end
    •                                         else if(3'd2 == flag)//write
    •                                                 begin
    •                                                         wb_adr_o <= address;
    •                                                         wb_dat_o <= 32'h4444_4444;
    •                                                         wb_cyc_o <= 1'b1;
    •                                                         wb_stb_o <= 1'b1;
    •                                                         wb_we_o <= 1'b1;
    •                                                         status <= m_wait_ack_write;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         wb_cyc_o <= 1'b0;
    •                                                         wb_stb_o <= 1'b0;
    •                                                         wb_we_o <= 1'b0;
    •                                                         wb_adr_o <= 32'h0;
    •                                                         wb_dat_o <= 32'h0;
    •                                                         status <= m_idle;
    •                                                 end
    •                                 end
    •                         m_wait_ack_read:
    •                                 begin
    •                                         if(1'b1 != wb_ack_i)
    •                                                 begin
    •                                                         test_done <= 2'b10;
    •                                                         status <= m_wait_ack_read;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         ram_data <= wb_dat_i;
    •                                                         wb_cyc_o <= 1'b0;
    •                                                         wb_stb_o <= 1'b0;
    •                                                         wb_we_o <= 1'b0;
    •                                                         wb_adr_o <= 32'h0;
    •                                                         wb_dat_o <= 32'h0;
    •                                                         test_done <= 2'b01;
    •                                                         status <= m_idle;
    •                                                 end
    •                                 end
    •                         m_wait_ack_write:
    •                                 begin
    •                                         if(1'b1 != wb_ack_i)
    •                                                 begin
    •                                                         test_done <= 2'b10;
    •                                                         status <= m_wait_ack_write;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         wb_cyc_o <= 1'b0;
    •                                                         wb_stb_o <= 1'b0;
    •                                                         wb_we_o <= 1'b0;
    •                                                         wb_adr_o <= 32'h0;
    •                                                         wb_dat_o <= 32'h0;
    •                                                         test_done <= 2'b01;
    •                                                         status <= m_idle;
    •                                                 end
    •                                 end
    •                         default:
    •                                 begin
    •                                         status <= m_idle;
    •                                 end
    •                         endcase
    •                 end
    • end
    • endmodule
    • /************** EOF ****************/$ b0 @- I2 |9 F6 I, j! v
                      
    4 I+ d' {- E& g) N% P 5 k; e% s. O2 |1 Z0 R: i

    . J6 @+ K9 s* ?8 S 3》mkg_slave.v
    9 b6 K  r4 K  y- I; ?# b4 F" b/ O* D$ \7 }" @: A# r9 G9 r
    2 V$ X9 L, Z! P
    • /*
    • *
    • * mkg_slave.v
    • *
    • * rill create 2013-04-02
    • *
    • */
    • `include "orpsoc-defines.v"
    • module mkg_slave
    • (
    •         address,
    •         flag,
    •         test_status,
    •         test_done,
    •         //===slave interface signals
    •         wb_clk,
    •         wb_rst,
    •         wb_dat_i,
    •         wb_adr_i,
    •         wb_sel_i,
    •         wb_cti_i,
    •         wb_bte_i,
    •         wb_we_i,
    •         wb_cyc_i,
    •         wb_stb_i,
    •         wb_dat_o,
    •         wb_ack_o,
    •         wb_err_o,
    •         wb_rty_o
    • );
    • output reg [31:0] address;
    • output reg [2:0] flag;
    • input [2:0] test_status;
    • input [1:0] test_done;
    • //===slave interface
    • input [addr_width-1:0]              wb_adr_i;
    • input                                                     wb_stb_i;
    • input                                                     wb_cyc_i;
    • input [2:0]                                     wb_cti_i;
    • input [1:0]                                     wb_bte_i;
    • input                                                   wb_clk;
    • input                                                   wb_rst;
    • input [31:0]                                         wb_dat_i;
    • input [3:0]                                         wb_sel_i;
    • input                                                         wb_we_i;
    • output reg [31:0]                                  wb_dat_o;
    • output reg                                                wb_ack_o;
    • output                                        wb_err_o;
    • output                                                   wb_rty_o;
    • //===slave external parameters
    • parameter addr_width = 32;
    • parameter mycore_adr = 8'h97;
    • //===slave local regs
    • reg [addr_width-1:0] num_1;//addr index:0x0
    • reg [addr_width-1:0] num_2;//addr index:0x4
    • reg [addr_width-1:0] sum;//addr index:0x8
    • reg [31:0] master_status;//test reg 0xc
    • reg [31:0] write_address;//0x10
    • //====slave status define
    • parameter s_idle = 3'b000;
    • parameter s_read = 3'b001;
    • parameter s_write = 3'b010;
    • reg [2:0] state = s_idle;
    • reg [1:0] done_flag = 2'b0;
    • reg [2:0] m_status;
    • reg [1:0] m_done;
    • //===mycore process start--->
    • assign wb_err_o=0;
    • assign wb_rty_o=0;
    • //===slave process================
    • always @(posedge wb_clk)
    • begin
    •         m_status <=  test_status;
    •         m_done <= test_done;
    • end
    • always @(posedge wb_clk)
    • begin
    •         master_status <= {27'b1001_1010_1011_1100_1101_1110_1111_0000,m_status,m_done};
    • end
    • always @(*)
    • begin
    •         sum = num_1 + num_2;
    • end
    • always @(posedge wb_clk)
    • begin
    •         if(wb_rst)
    •                 begin
    •                         address <= 32'h0;
    •                         flag <= 3'b0;
    •                         done_flag <= 2'b0;
    •                 end
    •         else
    •                 begin
    •                         if(2'b10 == done_flag)
    •                                 begin
    •                                         address <= 32'h0;
    •                                         flag <= 3'b0;
    •                                 end
    •                         else if(2'b01 == done_flag)
    •                                 begin
    •                                         address <= write_address;
    •                                         flag <= 3'b010;
    •                                         done_flag <= 2'b10;
    •                                 end
    •                         else
    •                                 begin
    •                                         if(3 == num_2)
    •                                                 begin
    •                                                         address <= write_address;
    •                                                         flag <= 3'b010;
    •                                                         done_flag <= 2'b01;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         address <= 32'h0;
    •                                                         flag <= 3'b0;
    •                                                         done_flag <= 2'b00;
    •                                                 end
    •                                 end
    •                 end
    • end
    • always @(posedge wb_clk)
    • begin
    •         if(wb_rst)
    •                 begin
    •                         state <= s_idle;
    •                 end
    •         else
    •                 begin
    •                         case(state)
    •                         s_idle:
    •                                 begin
    •                                         wb_dat_o <= 1'b0;
    •                                         wb_ack_o <= 1'b0;
    •                                         if(wb_stb_i && wb_cyc_i && wb_we_i)
    •                                                 begin
    •                                                         state <= s_write;
    •                                                 end
    •                                         else if(wb_stb_i && wb_cyc_i && !wb_we_i)
    •                                                 begin
    •                                                         state <= s_read;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         state <= s_idle;
    •                                                 end
    •                                 end
    •                         s_write:
    •                                 begin
    •                                         if(wb_adr_i == {mycore_adr,24'h000000})
    •                                                 begin
    •                                                         num_1 <= wb_dat_i;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i == {mycore_adr,24'h000004})
    •                                                 begin
    •                                                         num_2 <= wb_dat_i;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i == {mycore_adr,24'h000010})
    •                                                 begin
    •                                                         write_address <= wb_dat_i;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         //wb_ack_o=1'b0;
    •                                                 end
    •                                         state <= s_idle;
    •                                 end
    •                         s_read:
    •                                 begin
    •                                         if(wb_adr_i=={mycore_adr,24'h000000})
    •                                                 begin
    •                                                         wb_dat_o <= num_1;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i=={mycore_adr,24'h000004})
    •                                                 begin
    •                                                         wb_dat_o <= num_2;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i=={mycore_adr,24'h000008})
    •                                                 begin
    •                                                         wb_dat_o <= sum;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i=={mycore_adr,24'h00000c})
    •                                                 begin
    •                                                         wb_dat_o <= master_status;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i=={mycore_adr,24'h000010})
    •                                                 begin
    •                                                         wb_dat_o <= write_address;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         wb_dat_o = 0;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         state <= s_idle;
    •                                 end
    •                         default:
    •                                 begin
    •                                         state <= s_idle;
    •                                 end
    •                         endcase
    •                 end
    • end
    • endmodule
    • /************** EOF ****************/. |9 P2 o  J  X% Y. o- E
                                 
    0 o% c' r/ C; g0 F6 [, V) f( h! _9 ~2 ~0 V9 N

    6 t6 n% Z9 X: H 4》d_bus 优先级代码
    * [8 T3 b+ E5 F5 k+ K' }9 y8 `
    % j% @  c6 G( ]2 i) \" T 0 B" n, |2 T5 K1 s4 u6 k
    " P+ K* t2 p) H: F" e$ y' z" A

    3 g( h( J( z' A# y. v
    , z0 k5 u& C8 w' Q+ P" _ 5》linux driver
    6 U! o: Z4 J  j, I5 F; q& c; T1 j: c( ^! T# c6 F% I
    ip_mkg.c:- _: L, i4 }4 U7 K- H+ M

    & A, L" V. M. B) V( q1 A
    5 W, ]9 g  f) k- L% F5 S. L
    • /*
    • *
    • * rill mkg driver
    • *
    • */
    • #include <linux/vmalloc.h>
    • #include <linux/slab.h>
    • #include <linux/kernel.h>
    • #include <linux/module.h>
    • #include <linux/fs.h>
    • #include <asm/uaccess.h> /* get_user and put_user */
    • //#include <linux/clk.h>
    • //#include <linux/ioport.h>
    • #include <asm/io.h> /*ioremap*/
    • #include <linux/platform_device.h> /*cleanup_module*/
    • #include <asm-generic/io.h>
    • #include "ip_mkg.h"
    • void        __iomem         *g_mkg_mem_base = NULL;
    • static int device_open(struct inode *inode, struct file *file)
    • {
    •         g_mkg_mem_base = ioremap(MKG_MEM_BASE,MKG_MEM_LEN);
    •         if(NULL == g_mkg_mem_base)
    •         {
    •                 printk(KERN_ERR "mkg open ioremap error!\n");
    •                 return -1;
    •         }
    •         else
    •         {
    •                 printk("mkg ioremap addr:%d!\n",(int)g_mkg_mem_base);
    •         }
    •         return 0;
    • }
    • static int device_release(struct inode *inode, struct file *file)
    • {
    •         return 0;
    • }
    • static ssize_t device_read(struct file *filp, char *buffer, size_t length, loff_t *offset)
    • {
    •         /*int ret_val = 0;
    •         char * data = NULL;
    •         data = (char*)kmalloc(4, GFP_KERNEL);
    •         if((ret_val = copy_from_user(new_regs, (struct reg_data*)ioctl_param, sizeof(struct reg_data))) != 0)
    •         ioread32(g_mkg_mem_base+length);
    •         printk("============read:%d\n",);*/
    •         return 1;
    • }
    • static ssize_t device_write(struct file *filp, const char *buffer, size_t count, loff_t *offset)
    • {
    •         //iowrite32(2,g_mkg_mem_base);
    •         return 1;
    • }
    • long device_ioctl(struct file *file, unsigned int ioctl_num, unsigned long ioctl_param)
    • {
    • #if 0
    •    int ret_val = 0;
    •    unsigned int ret = 0;
    •    struct reg_data *new_regs;
    •    printk("ioctl======\n");
    •    switch(ioctl_num)
    •    {
    •       case IOCTL_REG_SET:
    •           {
    •                  new_regs = (struct reg_data*)kmalloc(sizeof(struct reg_data), GFP_KERNEL);
    •                  if((ret_val = copy_from_user(new_regs, (struct reg_data*)ioctl_param, sizeof(struct reg_data))) != 0)
    •                          {
    •                             kfree(new_regs);
    •                             printk(KERN_ERR " error copy line_datafrom user.\n");
    •                                 return -1;
    •                          }
    •                         //iowrite16(new_regs->value,g_mkg_mem_base+new_regs->addr);
    •                  kfree(new_regs);
    •      }
    •          break;
    •         case IOCTL_REG_GET:
    •         {
    •          new_regs = (struct reg_data*)kmalloc(sizeof(struct reg_data), GFP_KERNEL);
    •          if((ret_val = copy_from_user(new_regs, (struct reg_data*)ioctl_param, sizeof(struct reg_data))) != 0)
    •                  {
    •                     kfree(new_regs);
    •                     printk(KERN_ERR " error copy line_datafrom user.\n");
    •                         return -1;
    •                  }
    •                 //ret = ioread16(g_mkg_mem_base+new_regs->addr);
    •                  kfree(new_regs);
    •                 return ret;
    •         }
    •         break;
    •    }
    • #endif
    •   return -1;
    • }
    • struct file_operations our_file_ops = {
    •   .unlocked_ioctl = device_ioctl,
    •   .read = device_read,
    •   .write = device_write,
    •   .open = device_open,
    •   .release = device_release,
    •   .owner = THIS_MODULE,
    • };
    • void test(void)
    • {
    •         int loop = 0;
    •         unsigned int phy_addr1 = 0;
    •         unsigned int phy_addr2 = 0;
    •         int * virtual_addr1 = NULL;
    •         int * virtual_addr2 = NULL;
    •         printk("<----ip_mkg test start---->\n");
    •         //=====ip_mkg reg test========================================================
    •         #if 1
    •         printk("reg test start==\n");
    •         iowrite32(0x11223344,g_mkg_mem_base);
    •         iowrite32(0x00000097,g_mkg_mem_base+0x10);
    •         iowrite32(0x03000000,g_mkg_mem_base+4);
    •         printk("reg test start1==\n");
    •         printk("reg test start2==\n");
    •         printk("reg test start3==\n");
    •         for(loop=0;loop<7;loop++)
    •         printk("====reg addr==0x%x==reg value:0x%x==\n",loop*4,ioread32(g_mkg_mem_base+4*loop));
    •         #endif
    •         //=========================================================================
    •         //============mem write test
    •         #if 0
    •         printk("mem write test start==\n");
    •         iowrite32(0x97000004,g_mkg_mem_base);
    •         iowrite32(0x2,g_mkg_mem_base+0xc);
    •         printk("======reg:c value:0x%x==\n",ioread32(g_mkg_mem_base+0xc));
    •         printk("======reg:14 value:0x%x==\n",ioread32(g_mkg_mem_base+0x14));
    •         printk("======reg:18 value:0x%x==\n",ioread32(g_mkg_mem_base+0x18));
    •         printk("======reg:1c value:0x%x==\n",ioread32(g_mkg_mem_base+0x1c));
    •         printk("======reg:20 value:0x%x==\n",ioread32(g_mkg_mem_base+0x20));
    •         printk("======reg:24 value:0x%x==\n",ioread32(g_mkg_mem_base+0x24));
    •         for(loop = 0;loop<10;loop++)
    •         printk("wait=write=\n");
    •         printk("wait=write=\n");
    •         iowrite32(0x1,g_mkg_mem_base+0xc);
    •         printk("======reg:c value:0x%x==\n",ioread32(g_mkg_mem_base+0xc));
    •         for(loop = 0;loop<10;loop++)
    •         printk("wait=read=\n");
    •         printk("wait=read=\n");
    •         printk("======reg:10 value:0x%x==\n",ioread32(g_mkg_mem_base+0x10));
    •         printk("======reg:c value:0x%x==\n\n",ioread32(g_mkg_mem_base+0xc));
    •         #endif
    •         //============mem read test
    •         #if 0
    •         printk("mem read test start==\n");
    •         virtual_addr1 = (int *)kmalloc(sizeof(int), GFP_KERNEL);
    •         virtual_addr2 = (int *)kmalloc(sizeof(int), GFP_KERNEL);
    •         *virtual_addr1 = 0x55;
    •         *virtual_addr2 = 0x66;
    •         phy_addr1 = virt_to_phys(virtual_addr1);
    •         phy_addr2 = virt_to_phys(virtual_addr2);
    •         printk("virtual addr1:0x%x==phy addr1:0x%x==\n",(int)virtual_addr1,phy_addr1);
    •         printk("virtual addr2:0x%x==phy addr2:0x%x==\n",(int)virtual_addr2,phy_addr2);
    •         iowrite32(phy_addr1,g_mkg_mem_base);
    •         iowrite32(0x1,g_mkg_mem_base+0xc);
    •         printk("wait=read=\n");
    •         printk("======reg:0 value:0x%x==\n",ioread32(g_mkg_mem_base));
    •         printk("======reg:c value:0x%x==\n",ioread32(g_mkg_mem_base+0xc));
    •         printk("====phy addr1==0x%x==ram value:0x%x==\n",phy_addr1,ioread32(g_mkg_mem_base+0x10));
    •         printk("======reg:c value:0x%x==\n\n",ioread32(g_mkg_mem_base+0xc));
    •         iowrite32(phy_addr2,g_mkg_mem_base);
    •         iowrite32(0x1,g_mkg_mem_base+0xc);
    •         printk("wait=2=\n");
    •         printk("======reg:0 value:0x%x==\n",ioread32(g_mkg_mem_base));
    •         printk("======reg:c value:0x%x==\n",ioread32(g_mkg_mem_base+0xc));
    •         printk("====phy addr2==0x%x==ram value:0x%x==\n",phy_addr2,ioread32(g_mkg_mem_base+0x10));
    •         printk("======reg:c value:0x%x==\n\n",ioread32(g_mkg_mem_base+0xc));
    •         kfree(virtual_addr1);
    •         kfree(virtual_addr2);
    •         #endif
    •         printk("<----ip_mkg test end---->\n");
    • }
    • int init_module()
    • {
    •         int ret_val;
    •         int ret;
    •         void __iomem *ret_from_request;
    •         //=== Allocate character device
    •         ret_val = register_chrdev(MAJOR_NUM, DEVICE_NAME, &our_file_ops);
    •         if (ret_val < 0)
    •         {
    •                 printk(KERN_ALERT " device %s failed(%d)\n", DEVICE_NAME, ret_val);
    •                 return ret_val;
    •         }
    •         ret = check_mem_region(MKG_MEM_BASE, MKG_MEM_LEN);
    •         if (ret < 0)
    •         {
    •                 printk(KERN_ERR "mkg check_mem_region bussy error!\n");
    •                 return -1;
    •         }
    •         ret_from_request = request_mem_region(MKG_MEM_BASE, MKG_MEM_LEN, "ip_mkg");
    •         //===ioremap mkg registers
    •         g_mkg_mem_base = ioremap(MKG_MEM_BASE,MKG_MEM_LEN);
    •         if(NULL == g_mkg_mem_base)
    •         {
    •                 printk(KERN_ERR "mkg ioremap error!\n");
    •                 return -1;
    •         }
    •         else
    •         {
    •                 ;//printk("mkg ioremap addr:%d!\n",(unsigned int)g_mkg_mem_base);
    •         }
    •         printk("mkg module init done!\n");
    •         test();
    •         return 0;
    • }
    • void cleanup_module()
    • {
    •         release_mem_region(MKG_MEM_BASE, MKG_MEM_LEN);
    •         unregister_chrdev(MAJOR_NUM, DEVICE_NAME);
    • }
    • MODULE_LICENSE("GPL");
    • MODULE_AUTHOR("Rill zhen:rill_zhen@126.com");

    • 8 p* c$ T! Z3 Y8 w
                                             6 D. u8 V9 {8 o
    ( a: j! {+ Q$ w
    2 O7 c3 B/ Q& i& Z

    , O9 R+ N( w( {ip_mkg.h:* _6 p9 k" q+ C# q  H6 d5 z( O: `
    " S% z% ~: I$ O: |. Y: N( E

    , L: }7 S+ n4 j6 L
    • #ifndef __IP_MKG_H__
    • #define __IP_MKG_H__
    • #define MAJOR_NUM        102
    • #define DEVICE_NAME        "ip_mkg"
    • #define MKG_MEM_BASE 0x97000000
    • #define MKG_MEM_LEN        32
    • #define IOCTL_REG_SET 0
    • #define IOCTL_REG_GET 1
    • struct reg_data
    • {
    •         unsigned short addr;
    •         int value;
    • };
    • #endif
      ( v. \* ^0 P4 t+ g
          
    * q) X1 K7 N; S- t2 m 3 r% g( \( f9 A- U" q7 Q
    6》makefile
    7 P2 u& d1 I# [3 D7 x) D3 h* w' b1 g" Q1 _. X6 H3 a" R
    : X) |# g* f" k7 a6 ?
    • # To build modules outside of the kernel tree, we run "make"
    • # in the kernel source tree; the Makefile these then includes this
    • # Makefile once again.
    • # This conditional selects whether we are being included from the
    • # kernel Makefile or not.
    • ifeq ($(KERNELRELEASE),)
    •     # Assume the source tree is where the running kernel was built
    •     # You should set KERNELDIR in the environment if it's elsewhere
    •     KERNELDIR ?= /home/openrisc/soc-design/linux
    •     # The current directory is passed to sub-makes as argument
    •     PWD := $(shell pwd)
    • modules:
    •         make -C $(KERNELDIR) M=$(PWD) modules ARCH=openrisc CROSS_COMPILE=or32-linux-
    • modules_install:
    •         make -C $(KERNELDIR) M=$(PWD) modules_install ARCH=openrisc CROSS_COMPILE=or32-linux-
    • clean:
    •         rm -rf *.o *~ core .depend .*.cmd *.ko *.mod.c .tmp_versions *.order *.symvers
    • .PHONY: modules modules_install clean
    • else
    •     # called from kernel build system: just declare what our modules are
    •     obj-m := ip_mkg.o
    • endif$ ]' h5 }6 e7 {/ R- M  p& B: ~
          
    : X* `5 o6 O5 P3 z" S3 G! w$ u/ {) C; ]$ @' k0 v

    1 n3 I2 o, y( [2 |6 c9 e2.1.3 模块连接图:$ V6 B2 B: j3 {
    6 |1 V! N% l% j2 p
    $ U. g3 b& @9 @. ~! Q1 A

    ! {1 P" ~" O: A  ^, W8 N( O3 U1 e* y, ~3 |0 Z& h0 C
    2.1.4 验证结果:
    ) z6 @8 P  I" \# M. Q. Y- s8 X
    2 C. x% G: g: l+ C3 s  k " {9 [; O8 i' }  a3 R2 [- B8 R
    9 @1 w1 u7 K& v4 g. i/ ~
    + ]  G0 D, j" _; F: {4 C6 z2 g. P

    / y. j9 o' \- v5 s2.2 master读功能/ [) E2 M# _$ X
    1》driver向slave的num_1寄存器里写入0x11223344" Q& z3 L) {. _8 k/ V1 c
    2》driver向slave的write_address寄存器里写入0x00000097.(这是让master 读的地址,其实就是num_1)4 l& g# b- u# |" I
    3》driver向slave的num_2寄存器里写入0x03000000.(这是让master开始读)3 ~$ D# w" S8 v2 ]4 u; B; q
    4》打印8 {, H9 o& q+ E' j: \8 f3 [0 [2 g
    5》driver读slave,验证master读入的值是否正确。
    7 @: p1 K( l! T  |2 W
    0 }/ k/ X. t: Q' p0 _2 `5 r4 A" ?) Z# s' t
    2.2.1 code list:mycore.v,mkg_master.v,mkg_slave.v$ {/ y1 C1 \1 U1 k+ d
    1》mycore.v. \, i6 Y, I6 ~- l3 o( e: }

    7 X) l( c9 y6 l- v( x" F. W, K% }2 c
    • /*
    • *
    • * mycore.v
    • *
    • * rill create 2013-04-02
    • *
    • */
    • `include "orpsoc-defines.v"
    • module mycore
    • (
    •         //===slave interface signals
    •         wb_clk,
    •         wb_rst,
    •         wb_dat_i,
    •         wb_adr_i,
    •         wb_sel_i,
    •         wb_cti_i,
    •         wb_bte_i,
    •         wb_we_i,
    •         wb_cyc_i,
    •         wb_stb_i,
    •         wb_dat_o,
    •         wb_ack_o,
    •         wb_err_o,
    •         wb_rty_o,
    •         //===master interface signals
    •         m_adr,
    •         m_din,
    •         m_dout,
    •         m_cyc,
    •         m_stb,
    •         m_sel,
    •         m_we,
    •         m_ack,
    •         m_err,
    •         m_rty,
    •         m_cti,
    •         m_bte
    • );
    • //===slave interface
    • input [31:0]                                      wb_adr_i;
    • input                                                     wb_stb_i;
    • input                                                     wb_cyc_i;
    • input [2:0]                                     wb_cti_i;
    • input [1:0]                                     wb_bte_i;
    • input                                                   wb_clk;
    • input                                                   wb_rst;
    • input [31:0]                                         wb_dat_i;
    • input [3:0]                                         wb_sel_i;
    • input                                                         wb_we_i;
    • output  [31:0]                                          wb_dat_o;
    • output                                                 wb_ack_o;
    • output                                        wb_err_o;
    • output                                                   wb_rty_o;
    • //===master interface
    • input                                m_ack;
    • input                                m_err;
    • input                                m_rty;
    • input        [31:0]                m_din;
    • output        [31:0]                m_adr;
    • output        [31:0]                m_dout;
    • output                                m_cyc;
    • output                                m_stb;
    • output        [3:0]                m_sel;
    • output                                m_we;
    • output        [2:0]                m_cti;
    • output        [1:0]                m_bte;
    • wire [31:0] address;
    • wire [2:0] flag;
    • wire [2:0] test;
    • wire [1:0] done;
    • wire [31:0] value;
    • //===slave external parameters
    • parameter addr_width = 32;
    • parameter mycore_adr = 32'h97;
    • mkg_slave mkg_slave0
    • (
    •         .address (address),
    •         .flag (flag),
    •         .test_status (test),
    •         .test_done (done),
    •         .ram_data (value),
    •         .wb_clk (wb_clk),
    •         .wb_rst (wb_rst),
    •         .wb_dat_i (wb_dat_i),
    •         .wb_adr_i (wb_adr_i),
    •         .wb_sel_i (wb_sel_i),
    •         .wb_cti_i (wb_cti_i),
    •         .wb_bte_i (wb_bte_i),
    •         .wb_we_i (wb_we_i),
    •         .wb_cyc_i (wb_cyc_i),
    •         .wb_stb_i (wb_stb_i),
    •         .wb_dat_o (wb_dat_o),
    •         .wb_ack_o (wb_ack_o),
    •         .wb_err_o (wb_err_o),
    •         .wb_rty_o (wb_rty_o)
    • );
    • mkg_master mkg_master
    • (
    •         .address (address),
    •         .flag (flag),
    •         .test_status (test),
    •         .test_done (done),
    •         .ram_data (value),
    •         .wb_clk (wb_clk),
    •         .wb_rst (wb_rst),
    •         .wb_adr_o (m_adr),
    •         .wb_dat_o (m_dout),
    •         .wb_sel_o (m_sel),
    •         .wb_we_o (m_we),
    •         .wb_cyc_o (m_cyc),
    •         .wb_stb_o (m_stb),
    •         .wb_cti_o (m_cti),
    •         .wb_bte_o (m_bte),
    •         .wb_dat_i (m_din),
    •         .wb_ack_i (m_ack),
    •         .wb_err_i (m_err),
    •         .wb_rty_i (m_rty)
    • );
    • endmodule
    • /************** EOF ****************/
      : Q/ R3 C! G! m. ]1 D
                      , _& N2 Z2 X7 o( i2 S- S! T8 U

    - u; S+ n& @; ^) J' O) d( f- ^* O9 T( O; J
    2》mkg_master.v4 s- k( o+ Z  z% F2 b9 [9 ]

    5 Y/ @7 Q9 o" P/ c6 z# k# O
    . t/ `5 c6 ?2 z7 p1 \! w( {
    • /*
    • *
    • * mkg_master.v
    • *
    • * rill create 2013-04-02
    • *
    • */
    • module mkg_master
    • (
    •         address,
    •         flag,
    •         test_status,
    •         test_done,
    •         ram_data,
    •         //wishbone interface
    •         wb_clk,
    •         wb_rst,
    •         wb_adr_o,
    •         wb_dat_o,
    •         wb_sel_o,
    •         wb_we_o,
    •         wb_cyc_o,
    •         wb_stb_o,
    •         wb_cti_o,
    •         wb_bte_o,
    •         wb_dat_i,
    •         wb_ack_i,
    •         wb_err_i,
    •         wb_rty_i
    • );
    • input [31:0]                address;
    • input [2:0]                 flag;
    • output reg [2:0] test_status;
    • output reg [1:0] test_done;
    • output reg [31:0] ram_data;
    • //wishbone interface
    • input                                wb_clk;
    • input                                wb_rst;
    • input                                wb_ack_i;
    • input                                wb_err_i;
    • input                                wb_rty_i;
    • input        [31:0]                wb_dat_i;
    • output        reg [31:0]                wb_adr_o;
    • output        reg [31:0]                wb_dat_o;
    • output        reg                 wb_cyc_o;
    • output        reg                        wb_stb_o;
    • output        reg [3:0]                wb_sel_o;
    • output        reg                         wb_we_o;
    • output        reg [2:0]                wb_cti_o;
    • output        reg [1:0]                wb_bte_o;
    • //====master status define
    • parameter m_idle = 3'b000;
    • parameter m_wait_ack_read = 3'b001;
    • parameter m_wait_ack_write = 3'b010;
    • reg [2:0] status = m_idle;
    • always @(posedge wb_clk)
    • begin
    •         test_status <= status;
    • end
    • always @(posedge wb_clk)
    • begin
    •         if(wb_rst)
    •                 begin
    •                         wb_cyc_o <= 1'b0;
    •                         wb_stb_o <= 1'b0;
    •                         wb_we_o <= 1'b0;
    •                         wb_adr_o <= 32'h0;
    •                         wb_dat_o <= 32'h0;
    •                         test_done <= 2'b00;
    •                         status <= m_idle;
    •                 end
    •         else
    •                 begin
    •                         case (status)
    •                         m_idle:
    •                                 begin
    •                                         if(3'd1 == flag)//read
    •                                                 begin
    •                                                         wb_cyc_o <= 1'b1;
    •                                                         wb_stb_o <= 1'b1;
    •                                                         wb_adr_o <= address;
    •                                                         wb_we_o <= 1'b0;
    •                                                         status <= m_wait_ack_read;
    •                                                 end
    •                                         else if(3'd2 == flag)//write
    •                                                 begin
    •                                                         wb_adr_o <= address;
    •                                                         wb_dat_o <= 32'h4444_4444;
    •                                                         wb_cyc_o <= 1'b1;
    •                                                         wb_stb_o <= 1'b1;
    •                                                         wb_we_o <= 1'b1;
    •                                                         status <= m_wait_ack_write;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         wb_cyc_o <= 1'b0;
    •                                                         wb_stb_o <= 1'b0;
    •                                                         wb_we_o <= 1'b0;
    •                                                         wb_adr_o <= 32'h0;
    •                                                         wb_dat_o <= 32'h0;
    •                                                         status <= m_idle;
    •                                                 end
    •                                 end
    •                         m_wait_ack_read:
    •                                 begin
    •                                         if(1'b1 != wb_ack_i)
    •                                                 begin
    •                                                         test_done <= 2'b10;
    •                                                         status <= m_wait_ack_read;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         ram_data <= wb_dat_i;
    •                                                         wb_cyc_o <= 1'b0;
    •                                                         wb_stb_o <= 1'b0;
    •                                                         wb_we_o <= 1'b0;
    •                                                         wb_adr_o <= 32'h0;
    •                                                         wb_dat_o <= 32'h0;
    •                                                         test_done <= 2'b01;
    •                                                         status <= m_idle;
    •                                                 end
    •                                 end
    •                         m_wait_ack_write:
    •                                 begin
    •                                         if(1'b1 != wb_ack_i)
    •                                                 begin
    •                                                         test_done <= 2'b10;
    •                                                         status <= m_wait_ack_write;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         wb_cyc_o <= 1'b0;
    •                                                         wb_stb_o <= 1'b0;
    •                                                         wb_we_o <= 1'b0;
    •                                                         wb_adr_o <= 32'h0;
    •                                                         wb_dat_o <= 32'h0;
    •                                                         test_done <= 2'b01;
    •                                                         status <= m_idle;
    •                                                 end
    •                                 end
    •                         default:
    •                                 begin
    •                                         status <= m_idle;
    •                                 end
    •                         endcase
    •                 end
    • end
    • endmodule
    • /************** EOF ****************/
      + R. P$ h/ G3 J+ ]; s' F
                        
    / e7 U8 N7 U; B, M1 a
    & P# d2 n. j- p8 ~! i" z- r: D" F( I4 c
    3》mkg_slave.v
      c9 D; T# [% M# u$ Q$ p8 d) B' p8 g3 N$ N+ [, k* ]9 {

    ) Q' _$ |& ~6 b/ Q8 W: Z+ }2 v
    • /*
    • *
    • * mkg_slave.v
    • *
    • * rill create 2013-04-02
    • *
    • */
    • `include "orpsoc-defines.v"
    • module mkg_slave
    • (
    •         address,
    •         flag,
    •         test_status,
    •         test_done,
    •         ram_data,
    •         //===slave interface signals
    •         wb_clk,
    •         wb_rst,
    •         wb_dat_i,
    •         wb_adr_i,
    •         wb_sel_i,
    •         wb_cti_i,
    •         wb_bte_i,
    •         wb_we_i,
    •         wb_cyc_i,
    •         wb_stb_i,
    •         wb_dat_o,
    •         wb_ack_o,
    •         wb_err_o,
    •         wb_rty_o
    • );
    • output reg [31:0] address;
    • output reg [2:0] flag;
    • input [2:0] test_status;
    • input [1:0] test_done;
    • input [31:0] ram_data;
    • //===slave interface
    • input [addr_width-1:0]              wb_adr_i;
    • input                                                     wb_stb_i;
    • input                                                     wb_cyc_i;
    • input [2:0]                                     wb_cti_i;
    • input [1:0]                                     wb_bte_i;
    • input                                                   wb_clk;
    • input                                                   wb_rst;
    • input [31:0]                                         wb_dat_i;
    • input [3:0]                                         wb_sel_i;
    • input                                                         wb_we_i;
    • output reg [31:0]                                  wb_dat_o;
    • output reg                                                wb_ack_o;
    • output                                        wb_err_o;
    • output                                                   wb_rty_o;
    • //===slave external parameters
    • parameter addr_width = 32;
    • parameter mycore_adr = 8'h97;
    • //===slave local regs
    • reg [addr_width-1:0] num_1;//addr index:0x0
    • reg [addr_width-1:0] num_2;//addr index:0x4
    • reg [addr_width-1:0] sum;//addr index:0x8
    • reg [31:0] master_status;//test reg 0xc
    • reg [31:0] write_address;//0x10
    • reg [31:0] read_value;//0x14
    • //====slave status define
    • parameter s_idle = 3'b000;
    • parameter s_read = 3'b001;
    • parameter s_write = 3'b010;
    • reg [2:0] state = s_idle;
    • reg [1:0] done_flag = 2'b0;
    • reg [2:0] m_status;
    • reg [1:0] m_done;
    • //===mycore process start--->
    • assign wb_err_o=0;
    • assign wb_rty_o=0;
    • //===slave process================
    • always @(posedge wb_clk)
    • begin
    •         m_status <=  test_status;
    •         m_done <= test_done;
    •         read_value <= ram_data;
    • end
    • always @(posedge wb_clk)
    • begin
    •         master_status <= {27'b1001_1010_1011_1100_1101_1110_1111_0000,m_status,m_done};
    • end
    • always @(*)
    • begin
    •         sum = num_1 + num_2;
    • end
    • always @(posedge wb_clk)
    • begin
    •         if(wb_rst)
    •                 begin
    •                         address <= 32'h0;
    •                         flag <= 3'b0;
    •                         done_flag <= 2'b0;
    •                 end
    •         else
    •                 begin
    •                         if(2'b10 == done_flag)
    •                                 begin
    •                                         address <= 32'h0;
    •                                         flag <= 3'b0;
    •                                 end
    •                         else if(2'b01 == done_flag)
    •                                 begin
    •                                         address <= write_address;
    •                                         flag <= 3'b001;
    •                                         done_flag <= 2'b10;
    •                                 end
    •                         else
    •                                 begin
    •                                         if(3 == num_2)
    •                                                 begin
    •                                                         address <= write_address;
    •                                                         flag <= 3'b001;
    •                                                         done_flag <= 2'b01;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         address <= 32'h0;
    •                                                         flag <= 3'b0;
    •                                                         done_flag <= 2'b00;
    •                                                 end
    •                                 end
    •                 end
    • end
    • always @(posedge wb_clk)
    • begin
    •         if(wb_rst)
    •                 begin
    •                         state <= s_idle;
    •                 end
    •         else
    •                 begin
    •                         case(state)
    •                         s_idle:
    •                                 begin
    •                                         wb_dat_o <= 1'b0;
    •                                         wb_ack_o <= 1'b0;
    •                                         if(wb_stb_i && wb_cyc_i && wb_we_i)
    •                                                 begin
    •                                                         state <= s_write;
    •                                                 end
    •                                         else if(wb_stb_i && wb_cyc_i && !wb_we_i)
    •                                                 begin
    •                                                         state <= s_read;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         state <= s_idle;
    •                                                 end
    •                                 end
    •                         s_write:
    •                                 begin
    •                                         if(wb_adr_i == {mycore_adr,24'h000000})
    •                                                 begin
    •                                                         num_1 <= wb_dat_i;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i == {mycore_adr,24'h000004})
    •                                                 begin
    •                                                         num_2 <= wb_dat_i;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i == {mycore_adr,24'h000010})
    •                                                 begin
    •                                                         write_address <= wb_dat_i;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         //wb_ack_o=1'b0;
    •                                                 end
    •                                         state <= s_idle;
    •                                 end
    •                         s_read:
    •                                 begin
    •                                         if(wb_adr_i=={mycore_adr,24'h000000})
    •                                                 begin
    •                                                         wb_dat_o <= num_1;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i=={mycore_adr,24'h000004})
    •                                                 begin
    •                                                         wb_dat_o <= num_2;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i=={mycore_adr,24'h000008})
    •                                                 begin
    •                                                         wb_dat_o <= sum;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i=={mycore_adr,24'h00000c})
    •                                                 begin
    •                                                         wb_dat_o <= master_status;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i=={mycore_adr,24'h000010})
    •                                                 begin
    •                                                         wb_dat_o <= write_address;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else if(wb_adr_i=={mycore_adr,24'h000014})
    •                                                 begin
    •                                                         wb_dat_o <= read_value;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         else
    •                                                 begin
    •                                                         wb_dat_o = 0;
    •                                                         wb_ack_o <= 1'b1;
    •                                                 end
    •                                         state <= s_idle;
    •                                 end
    •                         default:
    •                                 begin
    •                                         state <= s_idle;
    •                                 end
    •                         endcase
    •                 end
    • end
    • endmodule
    • /************** EOF ****************/
      6 }7 g* G, c: T) V3 f& n, s& L
                               
    & e/ P+ V0 X2 j+ K  b' u$ J
    ' f" i0 O* J  Z . S  G& E3 i0 _, n0 F! W8 j: H: ]+ V
    4》d_bus 优先级代码:arbiter_dbus.v(与写相同)
    5 W* \; l' ], b
    6 {( u3 `% J( V% R1 | 5》linux driver(与写相同)
    8 _1 L/ U" w# Z  R' d' v( ~
    / Z: @0 B/ W  {9 U  g 6》makefile(与写相同)
    . S. H! h- @6 K; I
    % z) u0 |$ w+ N) V  ^, m2.2.3 模块连接图:% u  G6 [6 b2 n2 l
    & N1 N* o" [4 n/ m- T6 E
    # p! z6 i5 h) P" ]. Q! X/ ?- Q# O7 W
    . i8 x9 H& ]+ Y

    * f* x' r0 J. n  y2 E2 D' B2.2.4 验证结果:
    3 z7 e" M2 j( z9 t* T8 D
    2 q8 D9 J0 N% T& W7 u* X
    % G# @) v# R3 {  \% q' U
      U5 l9 Z3 b- H: R" s# P1 K" f" L; l( t8 x. b3 {" D  O

    . }$ m% e+ g; {+ E- n# q
      m# c- S6 Y6 }- u; k2.3 小结
    5 W  o* Z8 J, {0 f写driver时要注意大小端的问题。
    ( u! L# _9 J+ W$ g比如想写0x97000000(master要访问的地址),在driver里面要写0x00000097。: d# A! z3 T; ^5 n) t) W0 ~

    该用户从未签到

    2#
    发表于 2021-9-30 16:34 | 只看该作者
    添加自己的master (DMA-like) ipcore到ORSoC并测试

    该用户从未签到

    3#
    发表于 2021-9-30 16:35 | 只看该作者
    添加自己的master (DMA-like) ipcore到ORSoC并测试

    该用户从未签到

    4#
    发表于 2021-9-30 17:49 | 只看该作者
    添加自己的master (DMA-like) ipcore到ORSoC并测试
    您需要登录后才可以回帖 登录 | 注册

    本版积分规则

    关闭

    推荐内容上一条 /1 下一条

    EDA365公众号

    关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

    GMT+8, 2025-8-16 08:03 , Processed in 0.187500 second(s), 26 queries , Gzip On.

    深圳市墨知创新科技有限公司

    地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

    快速回复 返回顶部 返回列表