|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
n. r8 ?3 F. X4 m频器是用的最广的一种FPGA电路了,我最初使用的是crazybingo的一个任意分频器,可以实现高精度任意分频的一个通用模块,他的思想在于首先指定计数器的位宽比如32位,那么这个计数器的最大值就是2^32=4294967296,
; S5 y# Q) C/ T3 B6 b& R$ H
9 i6 m% Y$ D1 W4 M: Q6 n假设系统时钟为50MHz,那么假如要想实现输出频率为fout,那么可以使用的频率控制字为:' d% t; ?+ {7 }2 P- C
6 I4 M5 T% `7 a! d' F' f" G
K满足关系:
/ c# @! H! }" u+ F+ E2 [, ^! K
' B2 \: O3 S9 k" B5 _/ B那么设计计数器在每个时钟上升沿累加的值为K,当计数值为2^31时,clkout=1;否则clkout=0.最终即可以实现任意频率的输出,精度的计算方法为当K=1时,可以得到clkout=0.0116415321826934814453125Hz,也即是说可以输出的最小频率为0.011Hz% b# p! R i( y( ^6 N' g, A
$ \/ M+ t4 a6 s! n此外我们最为常见的分频器分为以下4种分析:
q# \; F; G1 p. D$ ?8 y, y! n% B0 Q, ]- ?$ k( y
1.偶数分频
* v& c, u% Q8 l' m% N; x F
1 p" }' z# n) k% a3 B最简单,要想得到分频系数为N的频率输出,设定一个计数器,这个计数器从零开始加1,当加到N/2-1时计数器清零,或者clkout翻转,以此循环,即可实现偶数倍分频。
" {, K) s2 g; |" h; N- D
) E) u" O2 w! |: y2.奇数分频(分占空比不确定以及占空比50%)# r- V' B; j3 O7 d/ H* Q
! c0 {! R+ Z6 T& W
方法一:分频系数为N,占总比不确定:以三(N)分频为例,上升沿触发计数,计数器计数到1(N-1)/2时输出时钟翻转,计数到2(N-1)时再次翻转.代码为产生1/11占空比为十一分频时钟:在计数值为9和10时均反转时钟,是产生抽样脉冲的有效方法:
, v/ F. z* ^+ ]3 V/ y* ?* |/ I p+ y+ j7 ]0 k
always @(posedge clk or posedge rst) begin
$ K6 }! }% X5 X& U6 @' W; u if(rst)begin //复位
- t3 d; D( z; k: H/ l cnt<=0;3 U% l, }/ O$ _' R
clk_div11<=0;
$ e6 U% n. }1 _% ] end# \0 c7 G a( d% h3 W# _( S! q
elseif(cnt==9) begin) D' {1 Q( g8 j% W! x. e* i
clk_div11<=~clk_div11; //时钟翻转
7 i6 z, ?; Q$ o! L* f9 n cnt<=cnt+1; //继续计数
8 L( |& j# f: J9 R# K0 T* F5 } end% b) D/ l% y& p! K
elseif(cnt==10) begin
8 X9 h& b' K K, E clk_div11<=~clk_div11; //时钟翻转
& e2 C3 Y; v( F1 t3 X( E6 j- T cnt<=0; //计数清零 Z6 \3 V) W; M' ]8 ?
end) z+ o; Z9 p- w+ p1 x6 ~& J3 N
else
& j4 B6 c; G- q1 E' H cnt<=cnt+1;7 ~9 j( V! {! l- k" Y. C
end7 l0 F. W* l! o
3 g. K" o; U9 ~7 O, [# p- f1 W9 B$ v占空比50% ,则可以在上面的基础上,加上一个下降沿触发计数,然后将上升沿和下降沿产生的时钟进行相或运算,即可得到奇数分频输出。
: D$ Q* c3 v" ^6 s& P6 E( x* t) k8 E. O, y: B
reg clk1;
0 E J/ b Q. o% R' y. E) {$ M6 b reg[1:0]cnt1;
5 ^5 c) f) C0 G7 ^" d( e* P$ | always@(posedge clk or posedge rst) begin7 n, Z: w& h+ C, B
if(rst)begin //复位
1 W# ^0 X" F1 m: x9 |3 { cnt1<=0;! c, H# O, ]* H# S/ Q
clk1<=0;0 ]8 W: i3 g9 _- q [6 {6 t
end
/ |! R* J* W9 @& ~) W7 N/ t7 V. s elseif(cnt1==1) begin
* G% r, v- I& q7 A clk1<=~clk1; //时钟翻转
- @5 @! ^1 C, Y# F6 l9 ] cnt1<=cnt1+1; //继续计数
' t9 ~6 V, i0 S# J$ k end6 x+ Q" B- `* Q5 b2 V
elseif(cnt1==2) begin
" z, p2 m* u* |4 m clk1<=~clk1; //时钟翻转
1 \+ Y5 p' q' }$ Q$ f cnt1<=0; //计数清零% n7 ~ ?6 h. p" p" _
end
6 ]0 F+ z: m! [' Y. K else* C, \5 g4 a& @! s8 ^3 P
cnt1<=cnt1+1;0 W/ x- e; N8 S/ v! i0 Y7 @
end
4 N" s) t" m7 |! ?0 Z; r1 t& q% [
reg clk2;
) v: A" S' y+ k" ~+ ~# n: o L reg[1:0]cnt2;' o/ W% k, }6 S2 t. j) n+ i
always@(negedge clk or posedge rst) begin! M9 C2 \& d6 D3 g
if(rst)begin //复位3 g7 D% P4 |4 H
cnt2<=0;+ r5 h2 e9 l! T
clk2<=0;1 P: o- _4 \" B$ t0 ~8 O
end' v* Y3 B1 d$ L) e: |: m/ y3 }- r
elseif(cnt2==1) begin8 _$ F& B) M$ |) Y7 X3 B; a
clk2<=~clk2; //时钟翻转
0 x6 d5 `$ t8 ]3 {1 d+ A cnt2<=cnt2+1; //继续计数; I* c- \1 }! Z3 H; j
end
+ G- q4 C) a6 p, M/ m+ e elseif(cnt2==2) begin
3 O# |- G0 W3 B: t: a clk2<=~clk2; //时钟翻转
3 x3 P, Q- C; L; j cnt2<=0; //计数清零4 y7 o" D; c! k: r
end
* [% m/ j0 l/ p; i) H3 @$ S' d4 K; W else5 U( j4 V9 L5 U4 ?* V: P' v
cnt2<=cnt2+1;( @0 u% Q3 e ?7 I% V" [5 \
end7 p. M& P w9 _# A
, P W6 j9 a e* J+ }5 ~0 n assign clk_div3=clk1 | clk2; //或运算
$ C! q" F& c/ ?* F4 ?& U; z7 W0 k& l* x4 G. h5 Z
8 f) W! D' f; q& e. M) x- P
图1 50%占空比的三分频电路原理图
9 F: [, { v$ p9 e
# [: z& k) T3 [/ h/ e# B1 c& j) g
' E; R+ U* S* P- Q3 d" V9 D
% {0 m N2 K7 v, W. f9 V方法二:对进行奇数倍n分频时钟,先进行n/2分频,然后在二分频得到(这部分先讲半整数分频)
: h! s! M3 ^# W' E& p
& i3 [- x0 g* {8 s1 m. I亲测有效代码:- I) i3 a" |5 J! B) J6 o
, V, q" f5 h/ c4 b5 s' {; a
module ModuloN_Cntr(input clk,rst,output clk_out);4 v9 t; E: k& c! w! k8 K5 R
reg [1:0]cnt1;4 T' a4 \; f/ g
reg [1:0]cnt2;
3 }& ~1 y8 o# lreg temp1,temp2;4 \$ [; m9 ?3 r/ z
always@(posedge clk or negedge rst): A, Y4 q; m9 T- z0 [
begin
9 G$ C3 q) X1 G$ F0 C if(~rst)& U9 t; g6 @% N" d! v% I8 r
begin
@# p( A- ?6 ^$ ` {3 x cnt1<=0;
4 r$ G# Z ^: B0 z1 J4 s, j s temp1<=0;! T2 X5 V% F5 I
end1 A8 j! W, x+ F* l
else
0 L4 W- A/ m* W3 f' S begin* u3 X4 ]+ _4 S, A9 @! k
if(cnt1==2) h& X( m% J6 @2 _" `/ P
begin3 U( b) L2 U( s) I, R$ y0 }
temp1<=1;
/ T0 Y, o% m {9 A0 F2 V3 D8 Q cnt1<=0;# Y. l; w. }/ I7 G
end& |4 W4 W% V/ k6 L# v& f! A
else
! Y8 @5 e% @3 A2 S begin
d6 B2 R o0 R4 t5 d4 i" } cnt1<=cnt1+1;
" T/ B* L/ q8 O7 Z temp1<=0;; P* u' z. \: ^) b p7 X
end
! h( d8 c7 g: Q; d end - s( G o: E# ? `# h4 E: c
end
6 _4 a9 d' x3 k. u0 ~$ Valways@(negedge clk or negedge rst)
" l3 h% P9 c+ I$ _ e8 D) \begin% X& q4 s9 o( x4 g' F0 N, u
if(~rst)! j$ r: n9 |) h$ r! f) t
begin
6 }" k! n/ I& O% g& Q# \+ X cnt2<=0;
* f/ P5 v9 A" q" ]1 m temp2<=0;
9 ?' `- I) \( g6 ] end1 O0 h1 [) a( G, Y
else
: B( v* c6 Y- e- A5 ~* c. k begin
9 [3 \, y- A1 _6 \1 ] if(cnt2==2)' d/ E R+ w3 n# s- J3 i
begin
$ o$ J& s5 ^2 R1 ^ temp2<=1;
. b3 l0 }: ], n. @% `" ^ cnt2<=0;
4 |2 a7 A; N4 L+ l' G& s end
0 Q# d' ]( ?: a' h }- i$ [, L$ A else
9 ?$ K; h5 B8 k% {' x begin
- e. N# g/ r, u) E cnt2<=cnt2+1;% K! V E K7 j- f& Q+ q0 Q6 w0 i% C) O
temp2<=0;
! p/ h5 C/ ]# f* M8 ~0 ~ end ' {+ U/ E6 b8 O. s
end ( ?. X2 \0 N* h! G3 ~; u) k, R( M/ t
end0 m8 ?7 t/ @: R$ S7 U
assign clk_out=temp1|temp2;
5 R3 g3 n$ ?3 w/ dendmodule ]( O$ r: S5 j$ u
# j, d& r( t7 s# y仿真波形:
3 f1 F. N) }( q$ G: O
4 {- N$ p; P6 P. n3 m% [
6 D) e7 Q) X" V8 A/ y$ W+ `
; H# ^4 X8 \6 @0 H7 M% o1 b+ J, k' R3.半整数分频
4 f2 G$ z" d1 D9 ]8 M& _1 w+ o& Z5 }& d: _7 p( H8 O
半整数指的是N+0.5分频器设计:先进行模N+1计数,计数到N时输出时钟赋值为1,然后当计数到0时,输出时钟赋值为0,因此保持计数值为N的时间为半个时钟周期即为设计的关键,从中可以发现,计数器是在时钟的上升沿计数,那么我们可以让时钟在计数值为N时,将计数触发时钟翻转,时钟的下降沿变为上升沿,因此计数值为0,所以每产生一个N+0.5分频时钟周期,触发时钟都要翻转一次,以2.5分频为例程序如下:5 t& f# N& Q" g6 S
# r, r4 `2 \ \, i //异或运算
. P: {, g6 ~4 N& G9 V
+ X- ^) Q- B" a" b- N8 ^# K assignclk_in=clk^clk_div2;2 Z' x8 W8 l2 a; b& z+ ^$ M
8 j5 S! R2 x1 Y+ B
//模3计数器
6 C; A5 _8 g' [* U7 t8 p z" g( G( ^' X
reg clk_out;
+ G$ Y2 C5 h) @8 j9 j
/ | G; P/ b; f. s reg [1:0]cnt;
# @1 k! ]. b6 F! p
, Q% X( ~9 Q1 \" q! o always@(posedge clk_in or posedge rst) begin% d+ E$ Z7 _, O; V" R$ k: _
; j6 C) _5 h. x) r6 W
if(rst)begin //复位) N5 W9 K2 |3 [# l6 k& _7 K4 y/ V
; }" m1 l( q% ~, z, e1 p% o4 S
cnt<=0;7 j' p2 h7 I% N* `) t9 t! L- w1 v6 K
, x* R5 }( ?& z clk_out<=0;
) M6 r6 L1 p) |/ |# O. K
/ I; c# r7 C/ ` Q9 r0 w! o! c end
: u7 X3 c3 E" ^, R# _! N6 Q- U1 s7 g7 ^6 U8 Q
elseif(cnt==1) begin
& k1 }1 g S$ |# l# P& ]* g0 Y
: s6 `' _: g" ?0 O clk_out<=~clk_out; //时钟翻转
% }2 Y$ O( J" P3 l- O. l" X( V. l8 U
cnt<=cnt+1; //继续计数/ u+ S/ D8 g V7 N1 k
t' ~: I$ D3 }0 w) I4 m: y9 L: C& ^
end
, k4 z1 E' I/ P. i' k" V+ g1 }9 M8 X( L, V6 Y9 y( c9 z( z
elseif(cnt==2) begin( y( U% l& N$ B/ y' x3 a9 {1 w
+ l5 ^0 E; t& T) i5 C1 z
clk_out<=~clk_out; //时钟翻转
" M9 d- X ?+ l4 {6 q. v0 ?4 z2 L5 h, H
cnt<=0; //计数清零
5 x8 L; g' t" `# h
0 h: Z1 M. L5 \" L" i+ k4 _ end
5 H3 R% O* n) z [7 b
B; H; l/ p' s! l8 B' Q f else
/ u, J# Z, b% D+ {, ]! X7 g
. G# C* R5 M3 F& a2 p cnt<=cnt+1;3 m# V9 t, b; k3 _( j5 [( G
8 t5 r5 G% r4 X' o! y
end8 r. N: P0 [7 h, Y1 E
8 {3 y* ~* |3 x7 z% W2 S, K //2分频) q8 x: `/ y& w6 Q: p
9 V i0 \, m$ l, n( U7 \
reg clk_div2;
0 y8 x+ u- P8 P+ ]3 w5 E" U8 c* R% a$ O4 D; g' _* a
always@(posedge clk_out or posedge rst) begin
/ F3 p# V5 \# w7 Z- d& o- L) @ b- U6 D: X; V# x/ L2 r
if(rst) clk_div2<=0; //复位5 m2 t$ s6 ? Z2 G+ k) n
9 I0 r" D/ m. @0 `/ k else clk_div2=~clk_div2;
- X7 K; u3 T6 b0 |+ T3 v6 g A& d& l$ S! g8 {0 M- v
end6 f5 O* E! @& K4 ~! v& f( h
" X! @% w' O4 H' K& z
5 q! n8 w. _) t0 m9 f图3 通用半整数分频器的电路原理图- N; E4 v6 j) g' h+ j
1 E% U# ?' l7 V8 j' r4 @2 G
' l$ m1 C! l" h+ g3 k, A# t图4 2.5倍分频器时序仿真图
' Z# [4 r+ a: K! `* Y
8 r# |" S. H) R* W那么5.5分频呢:: z( \3 @* G# S/ M; b. d9 r, X; |1 ^
1 s, e8 S5 r5 W6 \9 x, H
代码:通用的这里N=5;+ _* X1 Y @3 @
+ g6 \2 p" c3 P7 Y7 Y6 _0 N
module ModuloN_Cntr(clk,clk_div,temp1,temp2);//N+0.5
2 h) k! X/ h% N# R$ @input clk;4 m+ {% e3 d) p+ V! K
output clk_div;
3 U9 Z; A" J1 j1 V) lreg[31:0]cnt1=0;
( P g: |, ^ x; e/ ?# \1 t3 a0 { Ereg[31:0]cnt2=0;: B5 E* Z8 n {7 z1 _
output reg temp1,temp2;
b; U! f. z9 i* s T6 vinitial begin temp1=0;temp2=1;end //首先进行初始化,temp1=0;temp2=1
- _. f/ ^- P0 qparameter N=5; //设定分频系数为N+0.5
L, [, N3 H9 qalways @(posedge clk) //temp1上升沿跳变
3 b1 g9 ^ _+ c3 \) Xbegin
+ g5 n! G/ O: M% X. Jif(cnt1==2*N) //2*N H$ x3 C5 j+ W/ g
begin cnt1[31:0]<=32'd0;end( F8 s/ i) ~% {# v( Y! M
else begin cnt1[31:0]<=cnt1[31:0]+32'd1;end4 g4 P# W. t6 T4 q2 e$ i
if(cnt1==32'd0) begin temp1<=1;end //高电平时间为N+1;. g8 K* {$ m+ z4 L. E
if(cnt1==N+1) begin temp1<=0;end //低电平时间为N;
+ v, q$ Q: R l+ I' H+ a5 Eend% y( j- }- H3 Q; l. s
always@(negedge clk) //temp2下降沿跳变( \, M. [! D4 E, h4 a7 i% X9 \
begin
6 Z( C6 V, T! Y3 W" f' o3 xif(cnt2==2*N) //2*N( Q) O& |+ I9 i0 D/ c3 j+ c1 J
begin cnt2[31:0]<=32'd0;end3 j ?( y K2 i+ g1 e
else begin cnt2[31:0]<=cnt2[31:0]+32'd1;end7 s, v- D9 X/ H; c5 K
if(cnt2==32'd0) begin temp2<=0;end //低电平时间为N;4 f8 G: X5 g a* o4 I0 O9 _/ P
if(cnt2==N) begin temp2<=1;end //高电平时间为N+1;* e, o1 |) Y; r! q9 h4 K7 E4 U& J: `
end1 f! x3 [# D- J" S- S4 I- t4 K
assign clk_div=temp1&&temp2; //逻辑与6 }% R! d/ f) \
endmodule
# L/ R( `" C' ]+ ^/ a/ @2 n; ]9 `//如果要进行N+0.5分频) G H, `* P+ c1 J S5 `+ f- l
//思路:总的来说要进行N+1+N=2N+1次分频
. s" }. G' E' i- q5 J1 E//在时钟的上升沿和下降沿都进行跳变) Y5 R0 s4 ^# h: }( E4 I
//上升沿进行占空比为N+1比N的时钟temp1;% @, Y4 v! L/ A+ M7 j) F' D
//下降沿进行占空比为N比N+1的时钟temp2;
& k5 ^: K1 ~ ~//最后div=temp1&&temp2 即可得到所需要的半整数分频
9 k# j7 v) H; C2 }( w
, b& a' u& W5 [9 N& l ^仿真波形:; y7 ~, H& S9 L U5 J3 Y
9 F) w8 B; u$ y3 Q5 ~& }; [: l
; O" w) ^9 @% z }
. r7 [6 h4 R. l4.任意小数分频/ m5 W+ e: }' m
8 E$ l" I! y7 x% t! S9 V- G小数分频器的实现方法有很多中,但其基本原理都一样的,即在若干个分频周期中采取某种方法使某几个周期多计或少计一个数,从而在整个计数周期的总体平均意义上获得一个小数分频比。一般而言,这种分频由于分频输出的时钟脉冲抖动很大,故在设计中的使用已经非常少。但是,这也是可以实现的。以8.7倍分频为例,本文仅仅给出双模前置小数分频原理的verilog代码及其仿真图(如图6),具体原理可以参考刘亚海的《基于FPGA的小数分频器的实现》以及毛为勇的《基于FPGA的任意小数分频器的设计》。、
& m, H$ ]& ^! J8 v! W' M/ j8 k! k+ P$ G- ?# a; I$ t
还是放下代码吧:6 F; q+ n9 U4 }6 U# d$ g1 r" A0 ?( I
0 O# a- ~& t8 A4 l( M: Q( i' O//8分频
# j* ]% `. h( G1 @( j# } y reg clk_div8;' a) @: z: w; x( N
reg[2:0]cnt_div8;
+ L- D, [/ W& h/ e! B3 Z: I always@(posedge clk or posedge rst) begin1 t3 g2 h9 R7 V( q
if(rst)begin //复位# V$ ~6 n% j- }+ L( r' c
clk_div8<=0;
" z) \" @, R/ J4 Y M+ t. u( x cnt_div8<=0;
1 X. d7 }8 E- f2 v, f end3 P% T# p; T! r& ^+ Y" Q' g* u; b
elseif(cnt_div8==3'd7) begin7 z1 ]- t' g: o% `0 X& l
clk_div8<=1; //置1+ W, v* K2 P+ s; P' p- j
cnt_div8<=0;
1 J7 h4 b# r# b- J0 A end
2 K8 m& D3 R0 z- b! f" j8 d. O3 ~ elseif(cnt_div8==3'd0) begin4 m$ o* P" e6 x; h* q
clk_div8<=0; //置0
/ {9 p) r3 `/ Z( t8 z$ E+ ?8 } cnt_div8<=cnt_div8+1;& a) v4 W% E. }" M6 C9 P
end
- d' R7 f3 J* L) A4 u else
$ V* f% H3 u; P$ S cnt_div8<=cnt_div8+1;6 e) a1 ?6 e0 S( O! x( u
end& u9 n, k4 R1 t0 P7 d: N6 U2 E) n
u6 O w/ i) o0 z
//9分频, D ~0 `* f4 v: b) {. L5 R
reg clk_div9;
- w K8 x. a) a- q reg[3:0]cnt_div9;
2 {( L% Z. c: s+ z P+ a# ]% T( l C always@(posedge clk or posedge rst) begin M% r7 y) S0 y) J A$ u
if(rst)begin //复位
5 T% z" n6 l% I# |" x clk_div9<=0;2 \/ w$ G& a" y3 s) u% c
cnt_div9<=0;" j R P. j$ J5 a
end: T6 ^2 F8 w) o3 ~3 }' V/ {
elseif(cnt_div9==3'd8) begin& s; U4 L3 m% N
clk_div9<=1; //置16 I& E6 M9 q0 ~7 N
cnt_div9<=0;0 q D) r5 M3 N
end/ N3 a$ M( n! p w) g- }
elseif(cnt_div9==3'd0) begin- T% v& Z7 H' P4 g
clk_div9<=0; //置0
) r& a- i' ^% Z7 O) N1 c cnt_div9<=cnt_div9+1;
! A6 W' |$ p3 p' w; y end4 m$ t/ {3 g" R& X7 u
else0 U# P2 M9 u" g% b1 r6 _
cnt_div9<=cnt_div9+1;
5 Z, l% @" H1 @# ^ end
, t% O! J' \; m% l3 q //控制信号
8 H+ p7 J+ q7 d: }$ x( t0 i parameterDiv8Num=3;" F3 X' y, u/ w' ]! j
reg ctrl;* L& b/ c& J' ~# O
reg[3:0]AddValue;
, f0 j- `" X- @/ y always@(posedge clk or posedge rst) begin
! E1 k/ _( f. l" p if(rst)begin //复位
( o1 v+ F0 ~1 R% W+ F! W4 l) Q ctrl<=0;/ u: ?8 h" j" @6 R$ Q
AddValue<=10-7;8 ]/ ?5 }3 H; P
end
6 G, V0 ^3 {8 |( e5 J& H8 b elseif(AddValue<10) begin
! R$ f0 e1 b& t, h9 U- V* d, H ctrl<=0;
; E* Z$ Z2 _% O0 n1 n AddValue<=AddValue+Div8Num;
: K7 Q6 c% p4 p. f end) d% {' J$ j5 l" t6 w# T1 q% t3 [9 k
else begin
% b, k0 e% Z5 l; e1 n# O& w ctrl<=1;5 K; l( m7 _4 P- m- O4 m
AddValue<=AddValue-10;
0 O# ~ f/ ^* W( N end
5 j# r: V6 D( F, ^ end% g3 P- ~) d4 v/ J ~
7 N; T1 Z4 H8 W# O" a" o* v
//选择输出
5 l/ C! _7 h( C7 K) d0 m reg clk_out;
/ @& a+ q2 B3 J; r( j always @(ctrlor posedge clk or posedge rst) begin
( v5 G# l$ N' N& n. u+ |2 {/ C. J if(rst) clk_out<=0; //复位
" ?! W* A1 t: [* I/ k, c" T X$ P elseif(ctrl) clk_out<=clk_div8;
1 c( B" s0 j4 S. z elseclk_out<=clk_div9;" O) R( g' N& ~3 o0 o( K4 R! E
end
) i' _6 @% Y V) o% d2 o
0 k, p* M" H# Z& c
$ U, S1 T1 T) `: x图6 8.7分频器的时序仿真图
0 ]7 v5 x- n# D: p+ c6 r2 L0 E U$ o. L5 A8 p' i
, j* t. s$ }/ Z1 J e' B
, E2 B% E: M6 |) B/ x* m4、总结分频器是FPGA的基础,而且在FPGA逻辑电路设计的时候是经常使用的,希望大家对以上的整数倍分频和半整数倍分频能熟练掌握
+ f2 i& z* S# _* L, A2 d |
|