找回密码
 注册
关于网站域名变更的通知
查看: 1417|回复: 0
打印 上一主题 下一主题

小白求教分频器

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2011-5-4 14:02 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
architecture behv of cnt is   b2 C+ B% N6 O3 u3 m
signal temp: std_logic_vector(19 downto 0); 8 F- ~& j: y, y2 V1 [
begin
8 w( c& F+ }& b+ @: Q5 v7 Uprocess(clk) 2 C8 D# ?1 H5 _1 a  ^# V& ~" L
   begin
" L% B3 a/ @1 O$ z- J& g$ N$ w    if clk'event and clk ='1' then ; H/ e3 H6 M' q1 W4 i
          if temp="11110100001000111111" then ( n5 Q3 o' a* i; U: q% T: D
                temp<="00000000000000000000";
. k: G- y( u% e* L4 M                   else ; `$ P) R9 ?; }
                         temp<=temp+1; 2 M" i) u, [! _
           end if;" e+ P) G( W9 b) S# h& \' E2 o
    end if; - @; V" J3 H  N2 D! n; m
end process;
) b% U$ Q4 e( R9 ^4 G5 @! w4 bfreq1<=temp(19);
6 z( @. i5 N8 G8 pfreq488<=temp(10);, M+ A" e$ l: k
freq1953<=temp(8);
0 W5 m/ w( y2 @, c! sfreq7812<=temp(6);
3 ~' k% q0 A. B6 r3 b. T- cfreq31250<=temp(4); % Y: B8 i9 j( A# U! X
freq125k<=temp(2); + A& ^4 O# z/ r3 u. o$ u4 R) g: i
freq500k<=temp(0);   _3 @$ F* O" B4 p3 J# K: f% x
end behv;
, B& W. G7 @# ]0 o. a$ b+ a; H这是个多分频输出的分频器的VHDL
) q1 T* S* y9 {问下这个 temp() 是什么意思 ()里的数什么意思 是选择位数吗?
8 r$ g5 B7 ^0 r# A* x- q或者哪位帮我解释下原理
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-7-19 22:10 , Processed in 0.109375 second(s), 24 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表