找回密码
 注册
关于网站域名变更的通知
查看: 1217|回复: 1
打印 上一主题 下一主题

如何使用Vivado Simulator运行时序仿真???

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2019-8-6 16:00 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
如何使用Vivado Simulator运行时序仿真???9 W* l8 h1 l) R7 p; l$ x5 Q

该用户从未签到

2#
发表于 2019-8-6 18:40 | 只看该作者
借用人家的解,给你参考:Vivado IDE :
" J" ~0 N( u( Q% {
  • 在Vivado项目中,运行Synthesis或Implementation。
    1 K2 \# i6 e) @6 W
  • 如有必要,请指定Vivado Simulator Simulation设置。
  • 从Flow Navigator中选择
    5 S4 y8 J5 b6 h8 T8 j运行仿真>运行后综合时序仿真 . n( V' |5 ~' D$ z7 k/ V; n
    要么0 a7 ^$ P. [  c* E
    运行仿真>运行后实施时序仿真 。 0 [6 h' g/ l4 G8 p0 B  K
    只有在成功运行综合或实施时,该选项才可用。 ) M# K7 @; ?6 y% Y
    & F: D' h5 N7 b' {; d- S5 N

( F( z) L8 l6 V/ E7 I4 c( `/ A2 R

1 J* Q( r. C$ J; U0 U
7 u$ m6 c6 Z& [
1 a+ H3 Z/ R; \* \
6 n/ f" X- Z, R; |* |
从命令行运行 : 0 U) J. F* K1 _
  • 为设计生成Verilog时序仿真网表。
    2 j% f. a9 m1 U7 ^, m9 @0 ^  h1 i/ {3 Z) @# O7 n: t6 Z
    , Q5 }; F& e' S6 R. O  e4 @
示例 : / B. C+ }' j: T% M# G+ J2 z3 {

) u7 @1 o/ P% |. c1 `4 n) Z
open_checkpoint top.dcp* V2 D3 U) W9 {
write_verilog -mode timesim -sdf_anno true top_timesim.v: F) t, v* i' f4 |4 m' g0 e& u
2.生成SDF延迟文件,并注释所有时序延迟。
. `% w3 S. ^6 j' M' |2 {- z
示例 : 5 W9 z' c% A3 G. @0 C1 C* @; }
write_sdf top_timesim.sdf
+ O! B+ X' g: G1 |- O) \
3.解析单个文件或项目文件,通过适当地注释SDF文件来详细说明并生成快照,然后进行仿真。 ( \# q) o% N( {" O- w8 N% i$ X

3 _% k- \8 p( x, ^  j$ xVivado仿真器模型使用互连延迟。 " d  G8 k1 p9 @9 S! c" y

! ]7 q( E% F! C+ {因此,正确的时序仿真需要额外的开关,如下所示: $ ^! R( a( q' l  p0 n
4 y. M$ O: b8 ?( ]/ C
-transport_int_delays -pulse_r 0 -pulse_int_r 0+ E4 m& N7 d& x$ d# q6 \" g3 O
示例 :
* ]) i5 Y, h" l; L) v, n
xvlog top_timesim.v
6 ~6 [3 Q+ O% j, y9 @6 rxvlog testbench.v
! C! g0 D9 c  z3 D8 r4 {xvlog $ XILINX_VIVADO / data / verilog / src / glbl.v" s& v9 {2 A) A: X1 h
xelab -debug典型-maxdelay -L secureip -L simprims_ver -transport_int_delays -pulse_r 0 -pulse_int_r 0 testbench glbl -s top_timesim/ x6 g/ A$ ]3 @! t
xsim top_timesim -gui
7 @! Z4 v0 |0 C2 T' V
" O- m" }( @% A3 f9 U
4 R+ Y2 q% {7 m9 [( K
5 R0 P* m- e8 P3 w
+ N  X* \- k. b0 {
/ W- {" f8 Q6 @7 @3 U

) N$ L: ^5 j! _! R, n9 h) c* U8 K2 R! c9 b# \8 {2 m
8 \! m2 k7 E6 M# w) i
# j2 h$ Z* g, E; p2 M9 O
  _  e/ ]: Q5 B' O+ X' v
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-10-12 14:19 , Processed in 0.125000 second(s), 26 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表