|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
打开quartus新建文件然后在里面用verilog语言进行编程
4 \# l8 n- O$ Y4 Q" ?对于时序逻辑电路和组合逻辑电路的区别 m; h6 @6 T; B) }! ]) f$ J$ M2 _
时序逻辑电路这里单指D触发器,和之前的状态和时钟沿有关4 m& n7 [1 I7 U" {8 r6 n
组合逻辑只和当前状态有关
! x) P9 g+ m7 `' F: v% a t O' |: i! i, ]
9 w+ ^& H n. s5 _: h- U3 p) @
9 D( k( T* F& w# K. J6 N. A# G
/ {1 X# @; {" T3 z1 S- U3 ~1 C; a( b" Q
1、module ex_module(: i* Q& w$ H0 N" G9 Z. T G
input wire sclk,9 n1 l, N5 w7 I& ~+ o
input wire rst_n,3 Z% Z4 q3 | d2 y& P/ q l
input wire [7:0] d,//声明模块时输入必须是wire变量,构件之间的物理连线- s0 ~! F3 C2 T2 v5 ~0 F
input wire [7:0] q,//模块声明时输出可以是wire变量给,也可以是reg变量,REG 用于时序逻辑,reg 用于 always @ (posedge clk) 的always 块中。- D) D) s; v5 t- ] @- k. F& p
);1 R/ P2 ~0 C) ~5 @# r1 z
always @(posedge sclk or negedge rst_n)//敏感列表可以包括电平触发或沿触发3 P! j) @1 l2 w7 @1 b4 N
if(rst_n==1’b0)//条件表,这里是组合逻辑,一个比较器,1比特,b表示2进制
! k4 S6 U) ~! |+ \$ A4 xq<=8’h00;//沿触发的逻辑里面一定要用,<=非阻塞值# r8 n+ o* i4 e& C/ L- c
else
4 \; Q' ?- D/ L$ o) J$ [3 Iq<=d;6 V8 x# A, m+ C! I, s# J
endmodule- k8 l0 f5 F* C/ z
! l( f( U6 |3 r) g$ p) k7 y
; t3 F/ C% o7 K. e% o1 J! x* P2 w. I! L3 O
& [5 j6 U* G! m) \( v# J1 l
/ h9 \2 D2 t! ~% i5 a+ E. I' W" \
5 h4 r |! @/ E/ T; T! \- E2 d; \4 k2 f! c$ S
|
|