找回密码
 注册
关于网站域名变更的通知
查看: 344|回复: 2
打印 上一主题 下一主题

MFSK调制系统设计和VHDL程序及仿真

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2019-7-4 08:49 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
MFSK调制系统设计和VHDL程序及仿真' f; w" h0 a) ^) ~; i$ b2 n

, g9 m! D5 f' b/ D8 e: k( {2 F# m2 A. R4 ]4 n) ~- K
功能:基于VHDL硬件描述语言,完成对基带信号的MFSK调制
. n/ y5 j0 ^# L$ q. {! b0 l8 f+ Z--说明:这里MFSK的M为4: [) K( x& K+ n& T: ^" w1 S
library ieee;
6 v$ w0 ?. ^/ _9 q$ T7 B0 ruse ieee.std_logic_arith.all;
' I( a9 M5 }7 R8 [: h) suse ieee.std_logic_1164.all;6 S, m0 u2 s2 T  _# S& ~
use ieee.std_logic_unsigned.all;( N3 A# b& Q) |) [  A4 A
entity PL_MFSK is
1 K! E  Z% J4 Lport(clk&nBSP;      :in std_logic;            --系统时钟
  U/ S* ^, [! N0 o1 S' N- S    start     :in std_logic;            --开始调制信号& g8 t. |2 |0 r( T8 l
    x       :in std_logic;             --基带信号+ M, T0 C& q; E" m- ~7 R7 t, ^' e
    y       ut std_logic);           --调制信号- D4 v6 q' a* G6 ?# }
end PL_MFSK;  Z% A, |: H& w. ?( L( ~/ U, d
architecture behav of PL_MFSK is5 {8 o2 M' x3 O2 d
signal q :integer range 0 to 15;           --计数器
3 r2 G0 E# H& i& w" j1 {signal f :std_logic_vector(3 downto0);    --分频器1 i' q/ |9 \2 q  Q
signal xx:std_logic_vector(1 downto0);   --寄存输入信号x的2位寄存器
2 W5 ?3 |3 d4 Jsignal yy:std_logic_vector(1 downto0);   --寄存xx信号的寄存器, m' T. V: `: d: @- w: L3 h% {
begin4 G* K: W: l& \: E
process(clk)  --此进程过对clk进行分频,得到4种载波信号f3、f2、f1、f0。+ \6 s! ?- w0 C% H' j
begin
/ u) l5 b& ~. v- @# ^) `if clk'event and clk='1' then
( ~2 M$ L) l2 B' s1 w) n- e1 ?   ifstart='0' then f<="0000";
! O5 \% \+ T. r   elsiff="1111" then f<="0000";; c6 Q  w) U8 v" }. r. I
  else f<=f+1;3 ~) H" O- i3 ^- k2 t: _5 s! [
  end if;7 r8 ~7 ^( }$ [4 |, q0 T, ~2 L
end if;5 h. a- Q& K- G4 |* |2 y
end process;
8 K; @1 a% [" V5 B. v3 uprocess(clk)              --对输入的基带信号x进行串/并转换,得到2位并行信号的yy8 g4 N3 N" g/ y; I" Q( }; n
begin
( t. }" r3 D+ b; G2 `if clk'event and clk='1' then" T6 o7 M  [7 x# U& _6 s0 e
   ifstart='0' then q<=0;
9 |7 ^2 p+ K7 ?& |- V0 H2 D: `  elsif q=0 then q<=1;xx(1)<=x;yy<=xx;8 J/ g8 N( s0 Z# e- h& j* M: `7 V
  elsif q=8 then q<=9;xx(0)<=x;& |6 N! h+ e( ]: `, A, k  e; N( r
  else  q<=q+1;
, S* q# d( D1 ^: Z2 q  end if;
6 c3 l9 o& f- M+ Cend if;. c: E. }9 ~0 |2 }# }' i, t) s
end process;
3 Q/ K/ ?% p$ Xprocess(clk,yy)                          --此进程完成对输入基带信号x的MFSK调制! l9 ]7 l; A! r% x( I
begin% \/ @) x' f* w) _, i; F
if clk'event and clk='1' then+ e  _2 A  F% m' ]
   ifstart='0' then y<='0';                -- if语句完成2位码并行码到4种载波的选通! P$ ]3 o  @( D+ P' f/ S) \! X
  elsif yy="00" then y<=not f(3);
3 y+ H5 `& u5 e  elsif yy="01" then y<=not f(2);
- z2 J' A, v( g; E9 f5 D; t; f  elsif yy="10" then y<=not f(1);
  Q7 h8 V$ Z( X# v( Y8 k. C5 j$ U  else  y<=not f(0);7 E, ?5 L. N4 U# W/ ~3 T
  end if;
9 s) }9 {" f5 u. W. w9 h2 @end if;, S+ R9 V4 d# p: k. L5 o
end process;0 c# W9 J$ U( \' @6 z6 W8 |3 J
end behav;; ~; H7 f* u; U5 N
游客,如果您要查看本帖隐藏内容请回复

该用户从未签到

3#
发表于 2022-8-22 15:38 | 只看该作者
MFSK调制系统设计和VHDL程序及仿真
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-10-6 11:15 , Processed in 0.140625 second(s), 26 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表