找回密码
 注册
关于网站域名变更的通知
查看: 307|回复: 1
打印 上一主题 下一主题

基于FPGA verilog的交通灯代码分享

[复制链接]
  • TA的每日心情
    开心
    2019-11-20 15:00
  • 签到天数: 2 天

    [LV.1]初来乍到

    跳转到指定楼层
    1#
    发表于 2019-3-15 13:48 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

    EDA365欢迎您登录!

    您需要 登录 才可以下载或查看,没有帐号?注册

    x
    基于FPGA verilog的交通灯代码分享
    6 C/ n4 _1 c5 ?5 \6 [

    3 F5 f- L2 Q0 H8 f3 M/ w/ E
    状态说明:& g+ i+ |9 y' e
    1 _; d2 W1 ~! P2 }- h0 x4 B
    1, 初始化 东西南北的灯全亮;0 W% R$ l9 e4 D1 s# H% h: P
    ; n/ x9 ]3 B  X3 t* ~# ]! `% }
    2, 东西绿灯亮,南北红灯亮  20秒;
    # X1 {2 h0 c0 Q) B) c4 A& M: ]0 G* J* u9 l
    3, 东西黄灯亮,南北红灯亮 5秒;
    9 v4 E2 m! e& A  K: A' c, v
    - Q  p! O6 r$ T( Y0 ^/ s4, 东西红灯亮,南北绿灯亮 20秒;: I5 R6 X, y2 E/ Q% o) J
    # ~: {9 O- ], C; ], ]' m
    5, 东西红灯亮,南北黄灯亮 5秒;- x: Z+ H- ?( g0 G8 R2 K% l2 ?

    8 }; z/ |( ~4 I! a6, 循环 2,3,4,5,
    " _4 i/ ]0 z% M  t$ y& C. D9 s5 `
    ( p. A) f' p7 D+ n代码如下:
    4 Y6 z+ X; s) }" Z3 Z' Z' [0 K% Z2 Y. \0 P. f6 d3 A- ~6 r; H3 R
    `timescale 1ns/1ps% M8 ]5 R$ D9 d+ N- n* z6 b
    / p1 I& T) W7 D5 I% M; ~$ P' J% ?0 g4 b# O
    //红黄绿8 M" m" [& V% J" g& e
    //1亮0灭
      ]( x( f) C: A+ }) \( _; c6 Y6 cmodule  traffic (8 \) w5 a# X8 s* v6 x
                     clk,# r7 f" ~3 D# P6 o6 w) |
                     rst_n,3 m3 c" t! u& |  p$ T! r8 I( p
                     dongxi,
    , Y9 M4 z5 e+ A+ |$ Q) o                 nanbei
    " i- R- s1 [/ R3 ~               
    ! `: u( c! ]$ |. u7 g- A                );
    ; Q! Z6 L8 ^- zinput           clk;
    * A, F, q( s7 q' r5 P. ~. finput           rst_n;" j; z8 h2 o- K$ N) F
    output   [2:0]  dongxi;
    8 A4 ]- I, d' e+ Foutput   [2:0]  nanbei;
      U3 z& r' H, T0 i! i& d& r, c; ?' y
    reg      [2:0]  dongxi;
    - L, v$ E0 j7 e0 A3 qreg      [2:0]  nanbei;& Q7 p% d. U3 m! N- A) G; D# O

    5 s0 W. j% m2 B& ~parameter start=4'b0000, //开始& G' l) m/ D) g+ n$ e7 a' Y
        first=4'b0001, //第1位. q3 q( Y& \% ]6 z' B7 L2 C
        second=4'b0010,//第2位
    ) z! u( t6 u3 {) k    third=4'b0011, //第3位: `' v3 B2 c7 w' x$ R+ t5 ?/ L8 Z
        fourth=4'b0100, //第4位
    , E0 H  l$ r- \' }# B    fifth=4'b0101, //第5位$ ^+ A5 W' A' F9 H( i
        sixth=4'b0110, //第6位3 Z5 f2 `. T) }: A
        seventh=4'b0111, //第7位. |6 s0 _" B( d
        eighth=4'b1000; //第8位
    & {- p( s2 O3 y" t$ t    - H* d, \7 w$ b; r) U9 K
       
    . ]" a. i& ^, H* Dreg      [3:0]  state;6 F* c0 ~  @4 C8 Z
    reg      [5:0]  cnt;
    9 j; E) e5 [( ualways  @ (posedge clk or negedge rst_n)0 `# K. j  `) p8 r5 T3 R* Q
    begin  ) R% W; }2 H* B& ^8 i- C
            if(!rst_n) begin
    , b% S( a- C3 x1 m! O&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; dongxi <= 0;
    # K/ v6 v6 c/ L) J! v5 d9 h/ L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nanbei <= 0;  S6 C3 X* A6 N3 J( }
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt&nbsp;&nbsp;&nbsp; <= 0;8 a7 V/ S# ~, p) v" ^% c- ~
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= start;
    3 E. g- Y  _4 c&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end
    ! R9 m1 \: s/ T7 p8 B8 K&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; else
    . I2 D5 S& U4 i5 G" G&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; begin
    ! ~' ]; @. ~7 B! Z& a&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; case (state)
    - r0 |  J% T/ X* U&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; start: begin&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //&nbsp; chu shi
    4 \+ Q$ ~5 r' v5 I: g: b&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; dongxi <= 3'b111;
    + U! O& t- M) j9 Z6 X&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nanbei <= 3'b111;
    8 Q% n6 y5 Z& C- l, y! l9 U  J&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= first;
    2 z! v0 F8 _) ^% s&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end
    ; Y) o( ?5 y. e) }: B, ?' h; _&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
    1 u0 o- X+ D' n0 s1 L&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; first:&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //&nbsp;&nbsp; dongxi&nbsp;&nbsp; lv&nbsp; 20s9 k1 t' {. ^5 z- \7 n8 h
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; if(cnt==20) begin* n$ W& h+ {4 a  j1 Y
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= second;
    , o9 V2 P+ o4 V  E  [, S&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt&nbsp;&nbsp;&nbsp; <= 0;1 k" V) Q4 y: V- m8 n
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end0 `" d6 k/ t! @' O. P
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; else&nbsp; begin 4 S/ D& f/ l5 O9 ^
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt&nbsp;&nbsp;&nbsp; <= cnt + 1'b1;
    8 j3 h/ x' P" ~8 p% L* j! |  A&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; dongxi <= 3'b001;
    ) C4 W, ]) _  m/ a' j, u3 G' B&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nanbei <= 3'b100;
    9 l6 T( g0 {$ s0 U7 N# A; D&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= first;5 l- j; e+ ~+ s1 I) }, e/ z+ w  c# g
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end6 c$ f! d4 x9 @. z+ f/ T" o
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
    & @1 \  g6 T8 r. z7 e&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; second:&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //&nbsp;&nbsp; dongxi&nbsp; huang&nbsp;&nbsp; 5s4 c$ u+ M3 K/ P% k
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; if(cnt==5) begin
    5 p4 k; h, S# n8 R$ l& Y&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= third;1 o+ U% s" I- p9 \: u
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt&nbsp;&nbsp;&nbsp; <= 0;
    + n0 W5 U) o7 G, I  H  ?8 M  m&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end
    ) Z5 W+ S4 y& n. q$ R" S. y' S$ d&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; else&nbsp; begin
    * n9 h8 U$ J8 `' @4 O&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt&nbsp;&nbsp;&nbsp; <= cnt + 1'b1;
    9 X5 X  u: v+ Q. u( b( F0 W5 p/ {4 D1 m&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; dongxi <= 3'b010;
    4 p: }- |+ J; n* d" \1 ~$ f&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nanbei <= 3'b100;
    / ~# A/ V" U" D* u&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= second;; \! {: r" @: G/ ?
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end& G& e/ z! U3 m* u' ~
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; - C. T8 R) C9 P3 I
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp; third:&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //&nbsp;&nbsp; nanbei&nbsp; lv&nbsp;&nbsp; 20s
    / I2 t! \4 D8 e* S&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; if(cnt==20) begin: J4 u0 g5 S& ]. F
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= fourth;
    8 v$ j  h% B5 l" s5 p% H' L8 \&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt&nbsp;&nbsp;&nbsp; <= 0;
    - b7 O) U4 s/ Y4 [5 g1 C&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end$ ?4 Z% D& c8 a
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; else&nbsp; begin
    7 i" m3 h* |  `2 d&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt&nbsp;&nbsp;&nbsp; <= cnt + 1'b1;
    ) g# X% E( e+ G8 V! H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; dongxi <= 3'b100;2 {  w7 w' S2 O& S) ]1 n2 L. X! n7 c- l
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nanbei <= 3'b001;- Z4 J! M0 g5 Y& X: \
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= third;
    . ^) R, @/ }1 d( V5 B&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end&nbsp;&nbsp;
    9 n" T- A! C$ i: n0 O4 u- n&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fourth:&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; // nanbei huang&nbsp; 5s
    3 Q) M- A% e, t( t&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; if(cnt==5) begin+ h; j6 v& P4 x* K7 Z
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= first;( H* E: N: F! W' v1 T
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt&nbsp;&nbsp;&nbsp; <= 0;6 I7 J, C6 }. u9 n- `% l. N
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end+ I9 ~* l2 `( O$ i( K# c
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; else&nbsp; begin
    3 P6 Q, s0 K! q! i* }&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cnt&nbsp;&nbsp;&nbsp; <= cnt + 1'b1;$ x1 w- u: e3 a% u" Q
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; dongxi <= 3'b100;
    0 b5 Q9 v- m! Q; l1 Y&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nanbei <= 3'b010;
    8 x* u/ R4 e9 Q8 v2 O2 b&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; state&nbsp; <= fourth;1 \* H! u% j3 z. \
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end&nbsp;&nbsp; , w0 ~% a- w, }
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; endcase; G7 m' q1 ~$ }' G: V# \3 M' \
    &nbsp;&nbsp;&nbsp;&nbsp; end1 j- r+ e0 K5 p0 t* F- R1 y1 Q
    end# `$ `# E& B6 y
    ' N5 O7 Z) F7 g
    endmodule&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;  y# z0 p" W2 w* j
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
    * z/ ]' H5 F% j' z1 G3 I&nbsp;测试激励:
    , @$ b: ?  ~6 j8 g! U5 n
    3 |' A! E* K+ |" G0 z`timescale 1ns/1ps
    ; H# G0 y1 U$ @7 j6 s4 Y/ A+ J0 w//3 p2 R# k6 j4 V" \( E
    //
    # s8 C- n4 e3 r/ h% [8 L1 J( @//" z$ N& y2 s5 }6 L% F

      G* z* }5 k' U# y! R3 o* Zmodule traffic_tb;
    / B* J! N+ p  }' [# J1 {1 k
    & I2 ~1 ~0 ?$ l  H/ Ereg&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clk;
    3 @3 @/ g; z! _! k" g; x# {% Hreg&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; rst_n;
    * |5 i- r% _! [9 o* E, T# l! ]wire&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; dongxi;
      Z# R8 a+ i( E5 b' E) h$ {wire&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nanbei;
    ; N- X% e$ ?$ z5 v7 M# g8 Z
    3 |0 K/ D5 O) `4 ctraffic&nbsp;&nbsp;&nbsp; i1&nbsp;&nbsp;&nbsp; (# m2 S8 O4 }/ Z; h" W
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; .clk(clk),
    / f7 s" X, Y6 B  ?&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; .rst_n(rst_n),
    6 s+ G8 v+ S, M% I&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; .dongxi(dongxi),
    * ^4 r/ S! k( T: K# ~5 f2 Z8 [3 Z9 F&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; .nanbei(nanbei)
    . i+ R9 G4 s4 t&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; );8 Z' g5 ^% g9 u) b4 l

    . @) e+ p' e! I$ D0 R) Zparameter&nbsp;&nbsp;&nbsp; period = 10;
    # f8 ]# `  S' U, m& f+ X& H9 ^
    % [4 v$ W! G5 E$ p) \( ^6 y) {% hinitial
    & x$ W4 \" F( W9 h! xbegin
    6 m, i; t% Q" [7 A0 D( k, q% i9 k  J8 ^
    &nbsp;forever&nbsp;&nbsp;&nbsp; #(period/2) clk = ~clk;1 K; f! ~% C+ x0 `" K' b1 o
    &nbsp;; v; m2 t# X4 J" }1 \4 m
    end
    + P2 Y+ a8 z9 c" Y; v+ p; C* m& @# f; _# X) W' U. `
    initial 3 b9 Y3 G$ c+ U( e4 O7 t* e
    begin( ]# I0 {; n  I, I1 X5 h1 ~, K
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; clk&nbsp;&nbsp; = 0;
    $ ?: O% j- l% E; D% y" A# C* F&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; rst_n = 0;
    2 u. G, D; U% n3 n7 }: ]&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; #20&nbsp; rst_n = 1;
    % z% M4 {4 d# S9 S9 G6 _- n: L# l( b&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
    + e4 {, \6 R+ J. j2 q$ i&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; #100000&nbsp;&nbsp;&nbsp; . ?2 y9 v0 a' W% I4 m, o
    &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
    9 |0 U( f8 f) a* p7 M$stop;
    9 x( Q* a9 d0 E&nbsp;
    " |9 e/ R% b3 P$ uend0 }. m' z4 P4 R; x9 j0 J
    endmodule

    / g0 N9 r* f" Z7 u& s
    您需要登录后才可以回帖 登录 | 注册

    本版积分规则

    关闭

    推荐内容上一条 /1 下一条

    EDA365公众号

    关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

    GMT+8, 2025-7-29 02:29 , Processed in 0.125000 second(s), 23 queries , Gzip On.

    深圳市墨知创新科技有限公司

    地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

    快速回复 返回顶部 返回列表