找回密码
 注册
关于网站域名变更的通知
查看: 472|回复: 1
打印 上一主题 下一主题

VHDL流水灯设计

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2019-3-11 11:30 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
VHDL流水灯设计

  A1 y1 g+ w* U  k6 m# G+ A8 J8 p
& M' ]+ v- @, ~! l- I
library ieee;
/ P& W( J$ C4 o% y! E, zuse ieee.std_logic_1164.all;
1 @9 B2 i6 z+ ]# A& m+ n( uuse ieee.std_logic_unsigned.all;; C$ B6 r1 U; o4 q+ m$ _
use ieee.std_logic_arith.all;
. X# p2 C, o! t( H1 L5 Uentity liushui is0 C* g0 s/ k1 m( e: w
port(
% x% M# |5 v9 f' Zclk:IN std_logic;
2 w8 W+ }3 m& Z9 o- A/ s4 cclr:IN std_logic;" l0 n2 h4 _: Y
ena:IN std_logic;6 ~* @3 b9 ?+ l- F" Z6 }! _
yut std_logic_vector (7 downto 0) );
% l0 b1 _, {! v6 M! Gend;7 G  U3 @4 V7 O, E
architecture behave of liushui is
4 g) w; ~4 J  p/ ~: {: O' abegin: T. |) x+ Q% ^9 q4 P# Y
signal y_out:std_logic_vector(7 downto 0); process(clk,clr,ena) if clr = '0' then y_out<= "00000001"; elsif clk'event and clk = '1' then if ena = '1' then y_out <= y_out<<1; end if; end if;end process; y <= y_out; end behave;

! v5 l1 M3 X, e& A" D' B$ u' p" A# E( O0 U5 U, D
0 }9 P9 F- W; C7 I0 ]

' _7 u3 c2 v) x3 M9 H& B2 X2 u* Z2 m8 K8 e' k) t
& U' K- D" M0 I% v0 W/ d8 ?+ O# \
- \5 n3 W% ?0 w  J4 V
3 _: r! p* `6 r7 \

该用户从未签到

2#
发表于 2019-3-11 15:10 | 只看该作者
这就去看看可行吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-7-28 21:51 , Processed in 0.125000 second(s), 24 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表