| 
最近刚接触lattice,用的设计工具是diamond2.1,想从quarters ii移植一个工程过来,但是在diamond下编译出错:Identifier basic is not declared;网上lattice的资料挺少的,想问下哪位大侠知道如何解决,源代码如下:
x
EDA365欢迎您登录!您需要 登录 才可以下载或查看,没有帐号?注册  # k$ V0 J5 n6 h' V/ f# q. g
 包的建立:(并放到库中) library IEEE; use IEEE.STD_LOGIC_1164.all;   package comps is      procedure and2(signal a:in std_logic;                                     signal b: in std_logic;                                     signal c: out std_logic);  procedure or2( signal a:in std_logic;                                     signal b: in std_logic;                                      signal c  ut std_logic);  end comps;     package body comps is      procedure and2(signal a:in std_logic; . i& ~: v: n5 E7 k
 库的调用; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library basic; use basic.comps.all;   entity usage is    Port ( a : in std_logic;           b : in std_logic;           c : in std_logic;            s : out std_logic); end usage;   architecture Behavioral of usage is                signal tmp:std_logic; begin                u1:and2(a,b,tmp);                 u2  r2(tmp,c,s);end Behavioral;  * X/ d) C9 M1 [% J& J. Z  m. x
 路径如下图:   4 n1 p  `' L" a
 8 s0 k7 F1 b: `
 , |0 x2 B& j( R2 P3 b! h* B( B; q% A9 Y. G8 N3 k
 
 |