找回密码
 注册
关于网站域名变更的通知
查看: 1341|回复: 1
打印 上一主题 下一主题

利用vhdl实现分频

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2009-5-5 09:13 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
我想用vhdl实现时钟的分频,但我只会50%占空比的偶数分频,因为还是菜鸟,不太了解怎么进行奇数和小数分频,请大虾指教.最好有程序例子进行说明,谢谢

该用户从未签到

2#
 楼主| 发表于 2009-5-5 10:44 | 只看该作者
本帖最后由 chenqinte 于 2009-5-5 14:34 编辑
9 c' M# B- t- k) F' n" B, M
5 Z6 m; g+ L7 ]* v: R. K没人顶哦,这是我写的一个小程序不过仿真的结果可以实现3分频,但在板子上跑时,分频乱七八糟的,不知道为什么
" J2 k8 u- F- n! vlibrary ieee;5 w6 [5 V. N) |( g) Y+ ?+ F
use ieee.std_logic_1164.all;0 w0 o  J! q: N6 p) D3 }* m
use ieee.std_logic_arith.all;
$ L: z7 i; }4 r2 X. |use ieee.std_logic_unsigned.all;
, E0 f- d3 H% Sentity febpin is9 g4 {. f9 _0 F& V$ Q% w. j5 T
port(2 U& B8 M: b5 P; s+ z6 {5 x
  clk:in std_logic;
6 w+ K0 _2 v  ]8 D  div3:inout std_logic4 N5 v4 M1 w1 j; F+ M+ B0 ~
  );, s% t2 m  t( `; e$ q
end;
6 T; E* N4 j0 i, e* S) ~architecture behavioral of febpin is4 r# V4 n  J" j
signal cnt:integer range 0 to 2;
7 n: R( u4 e- z. V3 k1 @3 asignal q:std_logic:='0';4 |5 C4 r% |* l
begin
3 y) `0 r" G) S4 z! J: ` process(clk)
" K* ^  P: ^1 B6 w% y% d8 u# r9 M begin. M" e2 q+ E/ ~+ [' k
if (clk'event) then
9 e5 @' ]2 ~' Q# l2 P! i- X  if cnt=2 then' q; D) s" l' e: J* Q4 |) P% l
  q<=not q;
: i3 \/ \3 {/ @5 N  cnt<=0;; b6 @; X4 c5 v9 K4 Q) D
  else
4 B6 p% S) e. H: Z7 N' W* G$ I  cnt<=cnt+1;
/ y* ^' a0 y  o  end if;
  l: w6 L# {; w* n- Q6 @ end if;
. Y( d' }7 S' ?, Y: l end process;
$ ^& S) Y- `8 Z0 { div3<=q;8 F1 B; n/ H6 b
end behavioral;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-10-5 05:09 , Processed in 0.140625 second(s), 24 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表