找回密码
 注册
关于网站域名变更的通知
查看: 1493|回复: 1
打印 上一主题 下一主题

如果计数器要计数上百的话格雷码计数器如何设计

[复制链接]
  • TA的每日心情
    擦汗
    2020-1-14 15:59
  • 签到天数: 1 天

    [LV.1]初来乍到

    跳转到指定楼层
    1#
    发表于 2008-12-11 18:50 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

    EDA365欢迎您登录!

    您需要 登录 才可以下载或查看,没有帐号?注册

    x
    如果计数器要计数上百的话格雷码计数器如何设计
  • TA的每日心情
    擦汗
    2020-1-14 15:59
  • 签到天数: 1 天

    [LV.1]初来乍到

    2#
     楼主| 发表于 2008-12-11 20:36 | 只看该作者
    `timescale 1ns / 1ps
    4 g1 x# g; ~! t, o. L; ^& a. v7 g1 Cmodule GreyCounter4 g6 E0 Q9 s: A
    (
    & E$ L6 s" n4 Z! c- g3 x        // outputs:
    2 K( Z+ g2 B( d        greycount,
    # ^  w" T: \2 @( @; q& e, ?       
    / E& f& x3 {0 p$ {$ ?/ M        // inputs:
    , @, k# I, L7 ^; z& X        clk,
    " K' v  K2 q# m/ z( l0 ~        reset_n,4 Y) \- }$ X+ j" f+ U1 }# [! n
            en,  O6 b% Q( P* C* S7 }' ]) i$ M
            clr4 }& ]: O1 b! m) r6 r5 t' [( M
    );
    7 k& e) O; @4 ]) b! j  u; }
    8 h; r/ m/ T5 X( B" Vparameter WIDTH = 8;
    1 Z6 `# Z& w& D: z( c9 r2 Uparameter DLY        = 1;  |) k; \" l1 ~; Q4 ~1 J

    ( h$ B" j) p' z# E8 loutput [WIDTH-1:0] greycount;
    8 v6 o3 [/ ^! K9 L5 w
    ' d6 f7 m, h% L2 rinput clk;
    # z3 ]) F1 x3 H+ c  einput reset_n;) v, }; S; k6 O. U/ D
    input en;8 a9 J1 ]# q/ h$ k. ^# }! P; T
    input clr;* }9 u; o- P( p! p7 u( k/ @3 n
    9 z0 }* M' ]1 `4 \% l3 `
    reg [WIDTH-1:0] greycount;
    - O+ J6 ]9 z8 |% k; T9 R4 l0 Dreg [WIDTH-1:0] bincount;; `: o$ w4 |( ^" c/ e: Y
    integer i;/ B- T8 P' C6 c" I% x
    2 r/ Z8 y& ^  W( `0 K% y7 W  k- h" F
    always @(posedge clk)
      w  p/ R! a2 Mbegin
    % w" e7 ?( K0 O$ P% V        if (~reset_n)* N2 f) G6 q, y( \5 r! L4 U: j( R
                    bincount <= #DLY 0;
    6 q0 Y8 z1 }% S% Q: e        else if (clr)
    + {7 I3 f6 g& E% d# K                bincount <= #DLY 0;% Y% L) T# s/ X
            else if (en)               
    4 o; S% j% P+ o: R8 ]* q- U                bincount <= #DLY bincount + 1'b1;
    # z5 G# n# S1 e& i8 g% [        else) _$ P! G. j$ d8 B5 h8 f0 g$ l
                    bincount <= #DLY bincount;
    9 a; b% N, l2 y: u. A0 Y* T% dend' U) ~* C8 ]* p/ n5 _( u
    8 n6 U/ \% s, Q$ Y
    always @(posedge clk)* d) Q3 K/ |9 c" I
    begin) Y9 t, E5 Q2 V
            if (~reset_n)0 i9 {2 r' w7 A* Z- B/ r
                    greycount <= #DLY 0;
    & V7 H: J- s, _5 A& L        else3 c1 V& e' L3 H+ S+ }$ U/ R
            begin5 i% ]* A6 |: ~7 A
                    greycount[WIDTH-1] <= #DLY bincount[WIDTH-1];
    7 s, S, J" E( }5 l+ {                for (i=WIDTH-2; i>=0; i=i-1)
    & H. ]; L! G" C, e                begin2 F1 L0 H* L( j1 F& I
                            greycount <= #DLY bincount ^ bincount[i+1];
    # D; x" h/ A9 A. N+ u. k& I. h8 D& f                end4 W* V/ H4 k. G% s* f4 z/ n
            end
      N# o( B2 r' [end4 p6 E$ x: Q4 J

    4 A, k& Z' f1 D( I7 C1 |+ ^endmodule
    8 V( U. |/ _* O3 j' q
    # W( D! t9 R3 r; b3 r貌似上面的只能用于激励仿真吧
    : H% K# U, i% S3 h* [' p- i6 Gfor循环 ~~!
    您需要登录后才可以回帖 登录 | 注册

    本版积分规则

    关闭

    推荐内容上一条 /1 下一条

    EDA365公众号

    关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

    GMT+8, 2025-7-20 02:06 , Processed in 0.109375 second(s), 24 queries , Gzip On.

    深圳市墨知创新科技有限公司

    地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

    快速回复 返回顶部 返回列表