|
8#

楼主 |
发表于 2008-11-15 08:19
|
只看该作者
晕,好像真是偶数分频啊;
" L# }- ^( n& q, R' ]( Y; ?) w: s' ?9 U
0 w# x$ b+ F0 S- y0 @; `* n w
比如16个CLK就输出取反;那就是32个CLK一个周期 对应输出 一个周期;输出周期=32个CLK;
( @+ w$ X" p) ~$ [; s. y" {如果15个CLK就输出取反;那就是30个CLK一个周期 对应输出 一个周期;输出周期=30个CLK;
: _. i+ s' Q* r3 s, a$ G30个和32个CLK还不多是偶数。
p& ?! D/ q0 R9 o! ?8 j楼上的朋友真细心啊。。。" e8 A7 _" J1 Z& P& N2 m2 f. x1 j
在啃书中。。。。。
) O, I3 w8 J H; {; }5 q Y/ G4 s
3 _: E. U" [- ]' m& y) k下面的是7分频的奇数分频器,占空比嘛1/7;9 _1 W7 k6 h% M8 H `
还有50%的占空比,一直不明白,所以也没有发上来;
' r$ D2 [# l; ]) \( s- b' W2 rlibrary ieee;8 _3 @% @8 E/ v+ o7 s
use ieee.std_logic_1164.all;, ^3 u% R2 M0 i6 w: o
use ieee.std_logic_unsigned.all;
# K5 z4 }- {/ Z5 x& l# pentity jishu is/ t0 x: v& z3 O w; E% \
port( clk:in std_logic;
+ [6 G! p: u! { div7: out std_logic);
5 i* S3 q1 J, C2 u1 k0 c. f! ~end;- f( T, V/ v( U T& t: X
architecture one of jishu is. @% F: c% v: N9 y0 S4 O5 x
signal cnt:std_logic_vector(2 downto 0);
: @: w& C# H8 ^' l2 ]7 H0 S4 ? signal clk_temp:std_logic;2 q$ Z( M* O. R! H
constant m:integer:=6;
! D8 H4 r0 ?$ ]& P9 x( Nbegin7 d+ f, q) F' Z% j) X
process(clk)
% M6 D0 v1 r. Q8 xbegin
8 r) g. a+ b) V8 Xif clk'event and clk='1' then+ a' q' ~* L4 f9 g
if cnt=m then
% a% [9 `. |3 k! ]9 jclk_temp<='1';$ y- ^8 S$ g+ Y& L" }
cnt<="000";
. \! w. o. p/ p/ Lelse6 p, _( G/ J" ^" r. Q7 B z, L: d
cnt<=cnt+1;
5 N& X8 `5 K7 m, k* p5 nclk_temp<='0';/ ?/ q6 `1 ?/ p, R8 O
end if;
3 N! H; |; ~. A0 _! A% Vend if; F" @! f5 V, \
end process;
1 e7 {, r, {2 e* A2 V. Pdiv7<=clk_temp;" ~5 G+ Q7 p, ?. @6 i( e; O$ J6 S
end;
9 G4 c9 Z* u& h/ M2 h0 ?& t( n
P$ {' l! B' M[ 本帖最后由 zgq800712 于 2008-11-17 10:38 编辑 ] |
|