|
8#

楼主 |
发表于 2008-11-15 08:19
|
只看该作者
晕,好像真是偶数分频啊;
4 {2 s9 D# E6 O$ T5 \2 }( R; ^$ A0 F
- M8 a' j2 }! y
比如16个CLK就输出取反;那就是32个CLK一个周期 对应输出 一个周期;输出周期=32个CLK;
1 o' i; K2 B9 i' x+ c$ h) P1 M如果15个CLK就输出取反;那就是30个CLK一个周期 对应输出 一个周期;输出周期=30个CLK;
8 ~: a& v7 W! i# w- Y) V% z3 K30个和32个CLK还不多是偶数。
& m0 E6 a, ~- o6 z楼上的朋友真细心啊。。。
# D/ Q# H, N" C& V. X在啃书中。。。。。
6 h2 D5 R8 d8 ^% J4 t8 A( I9 l9 ~1 j% z+ Q/ z& k* c1 ]4 ?, S
下面的是7分频的奇数分频器,占空比嘛1/7;
6 N6 f8 @1 j6 c) k( h7 U7 C; [还有50%的占空比,一直不明白,所以也没有发上来;
9 i+ S/ C0 H* j9 Z# c8 h9 jlibrary ieee;
( l z' k0 }6 Z0 y& L; tuse ieee.std_logic_1164.all;2 W6 F' E& Z. z" ?9 k- }/ M
use ieee.std_logic_unsigned.all;
3 [, Y: r" @# E, L) Z1 }. j& gentity jishu is
) E; n" B* A5 v A @' V1 q6 s2 j port( clk:in std_logic;
- I( U% C; y8 |4 q% r div7: out std_logic);, s& R' ?: H" m* T
end;; `% A& I2 F; E# j# Q7 c5 n M
architecture one of jishu is3 a0 s h5 S, W7 c' ^- z
signal cnt:std_logic_vector(2 downto 0);
& S) k+ x0 s E) P" | signal clk_temp:std_logic;
& o) _2 N5 i( D) O$ z6 f C constant m:integer:=6;; \& T7 H; W2 w3 g5 \3 u
begin! i0 E4 E) l) u
process(clk)
4 d9 x7 E& O7 i2 e: C3 \begin( P+ c I" D' X0 f4 Q
if clk'event and clk='1' then
, [* ^$ V( P$ }if cnt=m then
% h+ ?3 K* W/ I5 [( W( ~clk_temp<='1';) F, j# J: |; ?8 ]8 D% r& v, c8 s
cnt<="000";7 ^9 E0 t- U% a4 K) r
else
5 j b( T" p# t& n( Icnt<=cnt+1;
' k' R2 [& `! f; aclk_temp<='0';. p' l+ i. \+ ]& K. p6 o4 Z
end if;" N1 ^- v f; r4 S G9 Z
end if;
3 Z c) q1 R6 w [* Oend process; $ L% W' a6 h' b1 J7 k& o$ L
div7<=clk_temp;* D# O$ q9 a0 t0 ]5 r4 J6 c
end;
; ^/ o& W+ T L( P
1 [: u F1 m$ J( `0 D[ 本帖最后由 zgq800712 于 2008-11-17 10:38 编辑 ] |
|